EDA实验指导书
- 格式:doc
- 大小:2.25 MB
- 文档页数:25
EDA 技术与VHDL实验指导书通信实验室编制2012年9月实验一组合电路的设计 (3)实验二时序电路的设计.................................错误!未定义书签。
实验三8位全加器的设计................................错误!未定义书签。
实验四含异步清零和同步时钟使能的加法计数器的设计错误!未定义书签。
实验五十六进制七段数码显示译码器设计.错误!未定义书签。
实验六数控分频器的设计.............................错误!未定义书签。
实验七序列检测器的设计.............................错误!未定义书签。
实训一组合电路的设计一、实验目的熟悉QuartusⅡ的VHDL文本设计流程全过程, 学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。
二、实验内容1: 首先利用QuartusⅡ完成2选1多路选择器的文本编辑输入(mux21a.vhd)和仿真测试等步骤, 给出仿真波形。
最后在实验系统上进行硬件测试, 验证本项设计的功能。
2:将此多路选择器看成是一个元件mux21a, 利用元件例化语句描述一个双2选1多路选择器, 并将此文件放在同一目录中。
三、实验仪器ZY11EDA13BE型实验箱通用编程模块, 配置模块, 开关按键模块, LED显示模块。
四、实验原理1.2选1多路选择器的VHDL源代码ENTITY mux21a ISPORT ( a, b, s: IN BIT;y : OUT BIT );END ENTITY mux21a;ARCHITECTURE one OF mux21a ISBEGINPROCESS (a,b,s)BEGINIF s = '0' THEN y <= a ; ELSE y <= b ;END IF;END PROCESS;END ARCHITECTURE one ;下图为本例2选1多路选择器的仿真图形2.双2选1多路选择器以下是部分参考程序:...COMPONENT MUX21APORT ( a, b, s : IN STD_LOGIC;y : OUT STD_LOGIC);END COMPONENT ;...u1 : MUX21A PORT MAP(a=>a2, b=>a3, s=>s0, y=>tmp);u2 : MUX21A PORT MAP(a=>a1, b=>tmp, s=>s1, y=>outy);END ARCHITECTURE BHV ;五、实验报告:根据以上的实验内容写出实验报告, 包括程序设计、软件编译、仿真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。
电子设计自动化(EDA)实验指导书前言近些年来,电子设计自动化(EDA)技术发展迅速。
一方面,各种大容量、高性能、低功耗的可编程逻辑器件不断推出,使得专用集成电路(ASIC)的生产商感受到空前的竞争压力。
另一方面,出现了许多EDA设计辅助工具,这些工具大大提高了新型集成电路的设计效率,使更低成本、更短周期的复杂数字系统开发成为可能。
于是一场ASIC与FPGA/CPLD之争在所难免。
然而PLD器件具有先天的竞争优势,那就是可以反复编程,在线调试。
EDA技术正是这场较量的推动引擎之一。
一般来说,EDA技术就是以计算机为平台,以EDA软件工具为开发环境,以HDL为设计语言,以可编程器件为载体,以ASIC、SOC芯片为目标器件,以电子系统设计为应用方向的电子产品自动化设计过程。
设计者只需编写硬件描述语言代码,然后选择目标器件,在集成开发环境里进行编译,仿真,综合,最后在线下载调试。
整个过程,大部分工作由EDA软件完成。
全球许多著名的可编程器件提供商都推出了自己的集成开发工具软件,如Altera 公司的MAX+PLUSⅡ、Quartus Ⅱ软件;Xilinx公司的Foundation 、ISE软件,Lattice公司的ispExpert软件,Actel公司的Libero 软件等。
这些软件的推出,极大地促进了集算法设计、芯片编程、电路板设计于一体的EDA技术的发展。
另外,在以SOC芯片为目标器件的电子系统设计要求下,可编程器件的内部开始集成高速的处理器硬核、处理器软核、DSP模块、大量的存储资源、高速的串行收发模块、系统时钟管理器、多标准的I/O接口模块,亦使得设计者更加得心应手,新一轮的数字革命由此引发。
EDA技术是一门实践性很强的学科,要培养出具有竞争力的一流IC设计人才,动手能力是关键。
只有通过理论学习,加上现场实验,在使用软件编程加硬件调试的过程中真正获得锻炼,增长技能。
ZY11EDA13BE型实验系统采用主板加适配板加扩展板的灵活结构,可方便进行基于不同PLD芯片的实验开发,并易于升级,符合当前高校在此方面对人才培养的要求。
EDA技术实验指导书2012.09第一章针对HDL设计的EDA基本实验与设计实验1.计数器设计(1) 实验目的:熟悉Quartus II的Verilog/VHDL文本设计流程全过程,学习计数器的设计、仿真和硬件测试。
掌握原理图与文本混合设计方法。
(2) 实验原理:参考教材[1]3.3节。
实验程序为例(3) 实验内容1:根据教材[1]的4.1节在Quartus II上对例3-22进行编辑、编译、综合、适配、仿真。
说明例中各语句的作用。
给出其所有信号的时序仿真波形,根据波形详细描述此设计的功能特点,包括RST、EN、LOAD、DA TA,CLK等信号等异步和同步特性。
查阅编译后的计数器的时序特点,从时序仿真图和编1 原理图示意图译报告中了解计数时钟输入至计数数据输出的延时情况,包括设定不同优化约束后的改善情况以及当选择不同FPGA后的延时情况,给出分析报告。
(4) 实验内容2:用教材[1]第4章介绍的不同方式锁定引脚并硬件下载测试。
引脚锁定后进行编译、下载和硬件测试实验。
将实验过程和实验结果写进实验报告。
硬件实验中,注意测试所有控制信号和显示信号,包括RST、EN、LOAD、DA TA等的同步、异步特性,进位信号等。
时钟CLK换不同输入:手动有抖动或无抖动键输入,1Hz或4Hz时钟脉冲输入,这需要附录1.3的模块B4板才能获得,或直接使用KX_DN5/7系列EDA/SOPC实验系统。
(5) 实验内容3:使用SignalTap II对此计数器进行实时测试,流程与要求参考教材[1]第4章,给出报告。
(6) 实验内容4:从设计中去除SignalTap II,要求全程编译后,将生成的SOF文件转变成用于配置器件EPCS4的压缩的间接配置文件*. jic,并使用USB-Blaster对实验板上的EPCS4进行编程,最后进行验证。
编程和全程编译前,按教材[1]图4-6所示,设定所有控制和参数。
(7) 实验内容5:为此项设计加入一个可用于SignalTap II采样的独立的时钟输入端CLK0。
实验一熟悉QUARTUS Ⅱ的设计过程[输入方式:文本输入、图形输入、波形输入等]一、实验目的:1、掌握QUARTUSⅡ安装过程;2、熟悉QUARTUSⅡ设计环境;3、掌握QUARTUSⅡ的设计过程。
二、实验内容及步骤(一)、安装QUARTUS II。
注:第一次安装QUARTUS要安装license。
(二)、QUARTUSⅡ设计开发步骤一、创建工作文件夹在windows中建立一个文件夹(又称工作库或WORK LIBRARY),用于保存设计工程项目的有关文件。
注:设计工程项目的有关文件不能保存在根目录下,必须保存在一个文件夹之下。
例如建立的文件夹:E:\mux.二、启动Quartus II点击QUARTUSⅡ7.0图标打开QUARTUSⅡ7.0设计窗口。
三、设计文件输入1、打开输入文件编辑器点击菜单File\new…新建立一个文本设计文件。
用文本输入法输入程序。
程序见附录。
2、保存文件,文件名名同实体名。
后缀.VHD四、逻辑综合1、创建工程点击菜单File\New Project Wizard…….进行工程设置。
2、编译设置⑴选择PLD芯片:Assignmenmts\Settings\Device弹出的窗口中选择选择芯片。
⑵选择配置芯片的工作方式:Assignmenmts\Settings\Device\Device&Pin Options弹出的窗口中首选General项,在Options栏中选择Auto-restart-configuration after error.⑶选择配置芯片和编程方式:Assignmenmts\Settings\Device\Device&Pin Options弹出的窗口中选择Configuration栏,在窗口中设置配置方式,配置芯片和是否生成压缩的配置文件。
⑷选择输出设置:保持默认。
⑸选择目标器件闲置引脚的状态:Assignmenmts\Settings\Device\Device&Pin Options弹出的窗口中选择Unused Pins栏,在窗口中对闲置的引脚设置,推荐设置为As output driving groud。
实验一Quartus II开发环境入门一、实验目的1、了解QuartusII软件及基本操作2、熟悉图形编辑器Block Builder/Schematic File的设计输入3、掌握电路的编译和适配4、掌握电路仿真与时序分析5、熟悉3/8线译码器工作原理和五人表决器设计二、实验原理1、以3/8线译码器为例,总体思路以EP1C3中的三个拨位开关,SW3,SW2,SW1为三个输入信号,可以代表8种不同的状态,该译码器对这8种状态译码,并把所译码的结果在七个彩灯上显示。
2、三、实验步骤主要仪器和设备:计算机,EDA实验箱。
步骤一:1、建立工程,设计输入。
选择菜单“File”→“New Preject Wizard”将设计文件加入工程中:点击下方的“Next” 按钮,在弹出的对话框中点击“File”栏的按钮,将此工程相关的所有VHDL文件加入进此工程(如果有的话)。
Cyclone系列的EP1C3T144C8按下“Next”后,出现仿真工具选择对话框。
不作任何选择。
4、完成设置点击“Next”后,完成工程的设定,点击“finish”。
步骤二:1、选择File/New或点击主菜单中的空白图标,进入新建程序文件状态,选择VHDL file 。
VHDL程序文件的扩展名是:* .vhd程序代码:-- A simple 3 to 8 decoderlibrary ieee;use ieee.std_logic_1164.all;entity decoder isport ( inp: in std_logic_vector(2 downto 0);outp: out std_logic_vector(7 downto 0)); end decoder;architecture behave of decoder isbeginoutp(0) <= '1' when inp = "000" else '0';outp(1) <= '1' when inp = "001" else '0';outp(2) <= '1' when inp = "010" else '0';outp(3) <= '1' when inp = "011" else '0';outp(4) <= '1' when inp = "100" else '0';outp(5) <= '1' when inp = "101" else '0';outp(6) <= '1' when inp = "110" else '0';outp(7) <= '1' when inp = "111" else '0';end behave;步骤三:1、选择菜单“File”→“New ” →“ Schematic File”,即弹出原理图编辑框。
EDA技术实验指导书适用于电子信息工程专业QUARTUS II 8.1软件的使用一、实验目的与要求:1、练习使用QUARTUS II 8.1软件,掌握利用该软件进行简单EDA设计的基本流程;2、完成一个通过拨码开关控制发光二极管亮灭的应用,实验结束后可独立完成思考题。
二、实验环境与器材:1、微机(已安装授权的QUARTUS II 8.1软件)2、EDA/SOPC实验开发系统3、USB Blaster下载线一根三、背景知识与操作流程:QUARTUS II是ALTERA公司推出的EDA开发工具,其前身为MAX PLUS II,目前实验室安装的版本为8.1,利用该软件可进行对可编程逻辑器件的分析、综合、下载等设计。
EDA/SOPC实验开发系统是由北京百科公司生产的一套EDA实验系统,其核心芯片采用ALTERA公司的CYCLONE系列FPGA产品EP1C6Q240C8,同时配备了丰富的外部接口资源,可供学生进行EDA设计实验。
启动QUARTUS后的界面如图1所示,首先需要创建一个工程,具体操作过程如下:图1 QUARTUS软件的启动界面(1)点击File –> New Project Wizard创建一个新工程,系统显示如图2。
图2 工程创建向导的启始页(2)点击Next,为工程选择存储目录、工程名称、顶层实体名等,如图3所示;(3)点击Next,若目录不存在,系统可能提示创建新目录,如图4所示,点击“是”按钮创建新目录,系统显示如图5所示;(4)系统提示是否需要加入文件,在此不添加任何文件;(5)点击Next,进入设备选择对话框,如图6,这里选中实验箱的核心芯片CYCLONE系列FPGA产品EP1C6Q240C8;(6)点击Next,系统显示如图7,提示是否需要其他EDA工具,这里不选任何其他工具;(7)点击Next后,系统提示创建工程的各属性总结,若没有错误,点击Finish,工程创建向导将生成一个工程,这时软件界面如图8,在窗口左侧显示出设备型号和该工程的基本信息等。
实验一EDA工具基本操作与应用一、实验目的1、通过一个简单的D触发器的设计,让学生掌握QUARTUSII设计工具进行电子设计的基本流程。
2、初步了解可编程器件设计的全过程。
二、主要仪器设备EDA实验系统一台,EDA/SOPC实验系统一台三、实验步骤QUARTUSII软件的基本操作与应用1、运行QUARTUSII软件。
2、选择File/New Project Wizard,新建一个工程,并点击Next。
图:1-13、指定工作目录及工程顶层设计实体名称,如图1-1所示,并点击2次Next。
4、选择FPGA器件,如图1-2所示,并点击Finish,工程文件建立结束。
图:1-25、点击File/New,新建一个VHDL文件,如图1-3所示。
图:1-36、点击Ok,并保存,无需任何修改,点击Ok即可。
7、按照自己的想法在新建的VHDL文件中编写VHDL程序,如D触发器程序代码,如图1-4所示。
图:1-48、代码书写结束后,选择Processing/Start Compilation对编写的程序代码进行编译,直至编译通过,否则对程序代码进行修改。
9、编译通过后,选择File/New,在弹出的对话框中点击Other Files,选择Vector WaveformFile,并点击OK,建立一个波形文件,如图1-5所示,保存波形文件。
10、在波形文件加入输入输出端口,如图1-6所示。
图:1-611、对加入到波形文件中的输入端口进行初始值设置,并点击Processing/Start Simuliation 进行仿真。
查看仿真结果是否符合要求。
12、仿真无误后,选择Assignments/Assing Pins对实验中用到的管脚进行绑定分配,如图1-7所示。
图1-713、对于复用的引脚,需做进一步处理,使其成为通用I/O。
14、最后再编译一次,编译无误后,用下载电缆通过JTAG接口将对应的dff2.sof文件下载到FPGA中。
EDA技术基础实验指导书海南大学信息学院编目录实验一MAX –plusII及开发系统使用 1 实验二高速四位乘法器设计7 实验三秒表的设计9 综合性设计性实验实验四序列检测器的设计12 实验五数字频率计的设计14 数字密码锁17 交通灯控制器182EDA实验指导书实验一MAX –plusII及开发系统使用一、实验目的1、熟悉利用MAX-plusⅡ的原理图输入方法设计简单的组合电路2、掌握层次化设计的方法3、熟悉DXT-BⅢ型EDA试验开发系统的使用二、主要实验设备PC 机一台(中档以上配置),DXT-B3 EDA实验系统一台。
三、实验原理数字系统设计系列实验是建立在数字电路基础上的一个更高层次的设计性实验。
它是借助可编程逻辑器件(PLD),采用在系统可编程技术(ISP),利用电子设计自动化软件(EDA),在计算机(PC)平台上进行的。
因为本实验是在计算机平台上进行,因此实验方式,实验手段和实验仪器与传统的实验有很大的区别,主要体现在以下几个方面:1、实验器材集中化,所有实验基本上在一套实验设备上进行。
传统的实验每作完一个实验,实验器材基本上都要变动(个别除外)。
而做本实验时,只要在计算机上把不同的程序输进去,其它步骤所有实验都一致;2、实验耗材极小(基本上没有耗材);3、在计算机上进行,自动化程度高,人机交互性好,修改、验证实验简单;4、下载后,实验结果清晰;5、实验仪器损耗少,维护简单;下面,我们就本套实验设备做一个简单的介绍。
3(一)Max+plusⅡ10.0的使用。
1、Max+PlusII软件的安装步骤:第一步:系统要求奔3CPU以上,128M内存以上,4G 以上硬盘,98 操作系统(98或Me操作系统才可以下载,其他操作系统下载必须安装驱动,否则只能仿真,如果大家只进行仿真的话,对系统没要求)第二步:安装点击安装可执行文件进行安装,安装完毕后会弹出一对话框,点击是或否都可以。
第三步:将安装文件夹中的License 文件夹打开,里面有一个License.bat 注册文件,将此文件复制到你的安装目录下(你的安装目录可放在任一个驱动器下,然后建立一个Max10的文件夹,将系统安装在此文件夹中,安装后此文件夹中会有三个文件夹)的任一个文件夹中,要清楚位置。
3.3 EDA实践3.3.1 EDA简介EDA技术(电子设计自动化-Electronic Design Automation)是电子信息技术发展的杰出成果,它的发展与应用引发了一场工业设计和制造领域的革命。
给企业带来了巨大经济效益。
EDA 技术是以计算硬件和系统软件为基本工作平台, 继承和借鉴了前人在电路、图论与拓扑逻辑和优化理论等多学科的最新科技的成果,它旨在协助电子设计工程师开发新的电子系统与电路、IC以及PCB产品时,利用计算机进行设计、分析、仿真、制造等工作,最大限度地降低成本、节省时间以及提高可靠性。
因此EDA技术已成为理工科专业学生必备技能之一。
EDA技术可以简单划分板级和芯片级,芯片级国内现阶段以FPGA/CPLD为主,着眼点在于微电子人才的培养。
板级EDA技术国内院校(包括本单位)一般以PROTEL 软件为基础讲授印刷电路板的计算机辅助设计,国际上也类似只是所使用的软件有所不同。
而对于板级EDA技术全程训练,限于学时和设备,仅有部分专业课程设计有所涉及,未能面向全体理工科专业学生,需要加以解决。
目前市场上有很多著名的EDA软件,包括ORCAD/PSPICE、P-CAD、PADS、PROTEL、EWB等。
在EDA实践课中选用了最新的Electronics Workbench(EWB)系列EDA软件,包括Multisim2001电路仿真软件和Ultiboard2001印制板设计软件。
使用Multisim2001电路仿真软件进行电路原理图的设计、绘制和仿真,可以很方便地利用其虚拟仪表或分析功能判断电路正确性,大大降低教师辅导的工作量和难度, Ultiboard2001印制板设计软件则可以很好地与Multisim2001串联使用完成印制板设计。
在此基础上可以进一步利用印制板快速制作系统和表面贴装系统进行板级EDA的全程训练。
Multisim2001是Interactive Image Technologies(Electronics Worbench)公司推出的以Windows为基础的板级仿真工具,适用于模拟/数字线路板的设计,该工具在一个程序包中汇总了框图输入、Spice仿真、HDL设计输入和仿真及其他设计能力。
在EDA-VI实验箱底板上,采用了CPLD资源整合及与CPU板主控制器之间采用总线互联,其中地址总线和数据总线可以通过4位从CPU板到EDA-VI底板的功能选择位,实现多路复用,来决定是用作总线方式,还是IO方式。
EDA-VI实验用到的管脚资源及功能选择说明如下:一、EDA基本实验用到的管脚资源(约定:如不作特别声明,以下管脚均为E-PLAY-SOPC CPU板上FPGA芯片EP1C12的对应管脚)地址线:BUS_A[0] PIN_128 --8位数据时用,16位数据时BUS_A[0]没用到BUS_A[1] PIN_115BUS_A[2] PIN_114BUS_A[3] PIN_113BUS_A[4] PIN_108数据线:BUS_D[0] PIN_105BUS_D[1] PIN_104BUS_D[2] PIN_101BUS_D[3] PIN_100BUS_D[4] PIN_85BUS_D[5] PIN_84BUS_D[6] PIN_83BUS_D[7] PIN_82BUS_D[8] PIN_86BUS_D[9] PIN_87BUS_D[10] PIN_88BUS_D[11] PIN_93BUS_D[12] PIN_94BUS_D[13] PIN_95BUS_D[14] PIN_98BUS_D[15] PIN_99功能选择:VGA[0] PIN162VGA[1] PIN161VGA[2] PIN164VGA[3] PIN163EP1C12板上4位按键PB[0] PIN127PB[1] PIN126PB[2] PIN125PB[3] PIN124EP1C12板上复位按键RESET PIN131EP1C12板上4位LEDLED[0] PIN165LED[1] PIN166LED[2] PIN167LED[3] PIN168EP1C12板上4位拨码SW[0] PIN4SW[1] PIN5SW[2] PIN6SW[3] PIN7EP1C12板上50MHz晶振输入OSC PIN153EDA-VI底板上IO9到IO16在EP1C12上对应的引脚用于8位LED显示,将L1—L8分别连接到IO9—IO16data[0] PIN_132 IO9data[1] PIN_133 IO10data[2] PIN_134 IO11data[3] PIN_135 IO12data[4] PIN_136 IO13data[5] PIN_137 IO14data[6] PIN_138 IO15data[7] PIN_139 IO16PLL1_OUTn PIN_39 IO1PLL1_OUTp PIN_38 IO2CLK1p PIN_28 IO3CLK1n PIN_29 IO4LED0 PIN_165 IO5 (对应CPU板上的L0—L3)LED1 PIN_166 IO6LED2 PIN_167 IO7LED3 PIN_168 IO8EDA-VI底板上IO_CLK为4位拨码开关SW17—SW20来控制输出40M分频后的可调时钟具体对应如下SW17 — SW20 IO_CLK1 1 1 1 1Hz0 1 1 1 5Hz1 0 1 1 10Hz0 0 1 1 25Hz1 1 0 1 50Hz0 1 0 1 500Hz1 0 0 1 1KHz0 0 0 1 2.5KHz1 1 1 0 10KHz0 1 1 0 20KHz1 0 1 0 50KHz0 0 1 0 200KHz1 1 0 0 500KHz0 1 0 0 2MHz1 0 0 0 5MHz0 0 0 0 20MHzP1—P3未定义P4 10MHz固定时钟(40MHz分频产生)P5 1MHzP6 100KHzP7 5KHzP8 100Hz二、4位功能管脚说明:PORT B EP1C12 left right 对应标识41 —> 162 —> 77 —> 49 —> VGA[0]42 —> 161 —> 78 —> 50 —> VGA[1]43 —> 164 —> 79 —> 51 —> VGA[2]44 —> 163 —> 80 —> 52 —> VGA[3]left表示EDA-VI主板左边的CPLD EPM1270,right表示EDA_VI主板右边的CPLD EPM1270VGA[3..0] 0001 16位拨码开关接到16位数据总线上;0010 左端8个数码管,低8位为7位段总加小数点选取位,高8位为8个数码管com端选取,即如果要选取数码管0,则发送总线值为:11111110 1111 1111,如要选取数码管1,则发送总线值为:1111 1101 11111111.此时所选数码管7段和DP位将全部亮;0101 4X4键盘功能选取,此时只有最低的8位有效,高4位为键盘的4位行扫描输出,低4位为键盘的4位列查询输入;0110 16X16 LED点阵显示功能选取,16位数据总线作为点阵的行值,4位地址对应列值编码,(底板上已经过译码).4位地址分别对应E-Play-SOPC主适配器上外扩总线地址的ADDRESS[4..1];除以上4种状态外的其它状态,均为总线方式操作。
实验一组合逻辑电路设计一、实验目的1、通过一个简单的4选1的设计,让学生掌握QUARTUSII设计工具进行电子设计的基本流程。
2、初步了解可编程器件设计的全过程。
二、主要仪器设备EDA实验系统一台,PC一台三、实验步骤1、建立工程文件1)选择开始>程序>Altera>QuartusII13.1,运行QUARTUSII软件。
或者双击桌面上的QUARTUSII的图标运行QUARTUSII软件,出现如图1-3所示,如果是第一次打开QUARTUSII软件可能会有其它的提示信息,使用者可以根据自己的实际情况进行设定后进入图1-1所示界面。
图1-1 QUARTUSII软件运行界面2)选择软件中的菜单File>New Project Wizard,新建一个工程。
如图1-2所示。
3)点击图1-2中的NEXT进入工作目录,工程名的设定对话框如图1-3所示。
第一个输入框为工程目录输入框,用户可以输入如e:/altera/work等工作路径来设定工程的目录,设定好后,所有的生成文件将放入这个工作目录。
第二个输入框为工程名称输入框,第三个输入框为顶层实体名称输入框。
用户可以设定如MUX41a,一般情况下工程名称与实体名称相同。
使用者也可以根据自已的实际情况来设定。
图1-2 新建工程对话框图1-3 指定工程名称及工作目录4)点击NEXT,进入下一个设定对话框,按默认选项直接点击NEXT进行器件选择对话框。
如图1-4所示。
这里我们以选用Cyclone系列芯片EP5CSEMA5F31为例进行介绍。
用户可以根据使用的不同芯片来进行设定,其方法基本一致。
图1-4 器件选择界面首先在对话框的左上方的Family下拉菜单中选取Cyclone V(E/GX/GT/SX/SE/ST),在中间右边的Speed grade下拉菜单中选取6,在左下方的Available devices框中选取EP5CSEMA5F31C6,点击NEXT完成器件的选取,进入EDA TOOL设定界面如图1-5所示。
图1-5 EDA TOOL对话框5)按默认选项,点击NEXT出现新建工程以前所有的设定信息,如图1-6所示,点击FINISH完成新建工程的建立。
图1-6 新建工程信息7、按照自己的想法在新建的VHDL文件中编写VHDL程序,MUX41a(源代码见书本的例2-1),如图1-7所示。
图:1-78、代码书写结束后,选择Processing/Start Compilation对编写的程序代码进行编译,直至编译通过,否则对程序代码进行修改。
9、编译通过后,选择File/New,在弹出的对话框中点击Other Files,选择universityprogramVWF ,并点击OK,建立一个波形文件,如图1-8所示,保存波形文件。
图:1-810、在波形文件加入输入输出端口。
鼠标左键双击红色方框处,如图1-9所示。
点击node finder,见图1-9的的红色圆圈处。
再点击1-10所示红色圈圈中List,然后点蓝色方框处的>>,导入仿真节点,然后连续点红色方框处的OK。
图:1-9图:1-1011、对加入到波形文件中的输入端口进行初始值设置,见图11,用鼠标左键在对应输入端口的波形条上拉出区域,点击红色圈圈可以设置高电平,点蓝色圈圈可以采用计数时钟方式实现方波,蓝色方框处可以采用周期波形方式设置方波,可以对并点击红色方框进行仿真。
查看仿真结果是否符合4选1的要求,见图1-12 。
图:1-11图1-1212、仿真无误后,在图1-13按照assignment菜单中的PIN Planner对实验中用到的管脚进行绑定分配,在图14种红色方框处填入表1中的管脚。
图1-13图1-14表114、最后再编译一次,点红色方框处见图1-15,编译无误后。
图1-1515.Quartus 内的Programmer 为下载.sof 文件进入FPGA 的主要工具。
跟以往FPGA 开发板有所不同之处在于DE1-SOC 开发板的JTAG Chain 会出现两个装置:FPGA 和HPS(Hard processor System),HPS 是在SOC FPGA 才会出现的装置。
用户将计算机和DE1-SOC 开发板上的USB Blaster 接口(J13)通过USB 下载线连接。
打开Quartus II 软件并且选择Tools>Programmer 。
Programmer 将会出现如下窗口。
图1-16 检测到USB-Blaster 下载线16.确认Hardware Setup 按钮旁是否有出现DE-SoC[USB-1]。
若呈现No Hardware 的状态,请按下Hardware Setup。
在Hardware Setup窗口下,双击Hardware栏内的DE-SoC让Current selected hardware 出现DE-SoC[USB-1]。
按下Close离开。
图1-17 检测到USB-Blaster下载线若用户的Hardware Setup窗口下还是为出现DE-SoC,请确认DE1-SoC Blaster接口有正确跟计算机连接,且USB-Blaster II且驱动已经正确安装。
17.点击“Auto Detect”如下图1-18所示:图1-18 检测JTAG Chain上器件18.选择FPGA装置“5CSEMA5”,如图1-19所示。
图1-19选择FPGA器件19.FPGA和HPS器件会出现在JTAG Chain内,如图1-20所示:图1-20 检测到FPGA和HPS器件10)点选FPGA装置。
按下鼠标的右键会出现一个菜单,选择Change File会出现.sof文件路径的窗口如图1-40所示。
图1-21 检测到FPGA和HPS器件11)先选择.sof文件。
点选“Program/configure”check box ,然后点选“Start”按钮后,.sof文件将会被加载到FPGA中。
图1-41 下载.sof文件五、实验现象与结果文件加载到目标器件后,拨动拨动开关,LED灯会按表4选1所示的真值表对应的点亮。
四、实验报告根据以上实验内容写出实验报告,包括设计流程,仿真结果及分析等内容实验二时序逻辑电路设计一、实验目的1、加深对时序逻辑电路设计的认识2、了解用VHDL语言实现简单的时序逻辑的过程3、掌握EDA开发的基本流程二、主要仪器设备EDA实验系统1台PC机三、实验内容1、运用VHDL设计1位边沿D触发器。
2、在1的基础上增加时钟使能作用及异步清零功能四、实验报告根据以上实验内容写出实验报告,包括程序设计,软件编译,仿真结果及分析,硬件测试等内容。
实验三异步计数器的设计一、实验目的1、加深对计数器的认识2、了解用VHDL语言实现计数器的过程3、掌握EDA开发的基本流程二、主要仪器设备EDA实验系统1台PC机三、实验内容1、运用VHDL设计1个4位二进制计数器,计数范围从0000计到1111。
2、在1的基础上增加时钟使能作用及异步清零功能3、在2的基础上实现计数器的计数范围控制,如从0000计到1001。
(选做)四、实验报告根据以上实验内容写出实验报告,包括程序设计,软件编译,仿真结果及分析,硬件测试等内容。
实验四全加器的设计一、实验目的1、掌握全加器的设计方法2、掌握VHDL的多层调用。
二、主要仪器设备EDA实验系统1台PC机三、实验内容1运用VHDL实现半加器,全加器的设计2.实现两位全加器四、实验报告根据以上实验内容写出实验报告,包括程序设计,软件编译,仿真结果及分析,硬件测试等内容。
实验四八位七段数码管动态显示电路的设计一、实验目的1、了解数码管的工作原理。
2、学习七段数码管显示译码器的设计。
3、学习VHDL的CASE语句及多层次设计方法。
二、实验原理七段数码管是电子开发过程中常用的输出显示设备。
在实验系统中使用的是两个四位一体、共阴极型七段数码管。
其单个静态数码管如下图4-1所示。
图4-1 静态七段数码管由于七段数码管公共端连接到GND(共阴极型),当数码管的中的那一个段被输入高电平,则相应的这一段被点亮。
反之则不亮。
共阳极性的数码管与之相么。
四位一体的七段数码管在单个静态数码管的基础上加入了用于选择哪一位数码管的位选信号端口。
八个数码管的a、b、c、d、e、f、g、h、dp都连在了一起,8个数码管分别由各自的位选信号来控制,被选通的数码管显示数据,其余关闭。
三、实验内容本实验要求完成的任务是在时钟信号的作用下,通过输入的键值在数码管上显示相应的键值。
用四个拨动开关做为输入,当四个拨动开关置为一个二进制数时,在数码管上显示其十六进制的值。
实验箱中的拨动开关与FPGA的接口电路,以及拨动开关FPGA的管脚连接在实验一中都做了详细说明,这里不在赘述。
数码管显示模块的电路原理如图4-2所示,表4-1是其数码管的输入与FPGA的管脚连接表。
表4-1 数码管与FPGA的管脚连接表四、实验步骤1、打开QUARTUSII软件,新建一个工程。
2、建完工程之后,再新建一个VHDL File,打开编辑器对话框。
3、按照实验原理和自己的想法,在VHDL编辑窗口编写VHDL程序。
程序见例子4-2。
4、编写完VHDL程序后,保存起来。
方法同实验一。
5、对自己编写的VHDL程序进行编译并仿真,对程序的错误进行修改。
6、编译仿真无误后,依照拨动开关、数码管与FPGA的管脚连接表(表1-1、表4-1)或参照附录进行管脚分配。
表4-2是示例程序的管脚分配表。
分配完成后,再进行全编译一次,以使管脚分配生效。
7、用下载电缆通过JTAG口将对应的sof文件加载到FPGA中。
观察实验结果是否与自己的编程思想一致。
五、实验现象与结果以设计的参考示例为例,当设计文件加载到目标器件后,拨动四位拨动开关,使其为一个数值,则八个数码管均显示拨动开关所表示的十六进制的值。
六、实验报告1、绘出仿真波形,并作说明。
2.实验原理、设计过程、编译仿真波形和分析结果、硬件测试结果记录下来。
实验六 正弦信号发生器设计一、实验目的1、熟悉基于DDS 的正弦信号发生器的基本工作原理2、设计出一个频率可变的正弦信号发生器号源。
二、主要仪器设备EDA/SOPC 实验系统1台,示波器1台三、实验原理如图6-1所示为基本DDS 结构,由相位累加器、相位调制器、正弦ROM 查找表、D/A 构成。
相位累加器是整个DDS 的核心,完成相位累加运算。
相位累加器的输入是相位增量θ∆B ,又由于θ∆B 与输出频率out f 呈线性关系:clkoutNf f B ⋅=∆2θ,因此相位累加器的输入又可称为频率字输入。
相位调制器接收相位累加器的相位输出,加上一个相位偏移量,用于信号的相位调制,不用时可以去掉,或者加一固定值。