vhdl期末考试复习题大全

  • 格式:doc
  • 大小:77.50 KB
  • 文档页数:6

下载文档原格式

  / 6
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

VHDL复习

一.问答题

1信号赋值语句在什么情况下作为并行语句?在什么情况下作顺序语句?信号赋值和变量赋值符号分别是什么?两种赋值符号有什么区别?

●信号赋值语句在进程外作并行语句,并发执行,与语句所处的位置无关。信号赋值

语句在进程内或子程序内做顺序语句,按顺序执行,与语句所处的位置有关。

●信号赋值符号为“<=”变量赋值用“:=”。信号赋值符号用于信号赋值动作,不立

即生效。变量,赋值符号用于变量赋值动作,立即生效。

2进程的敏感信号表指的是什么?简述敏感信号表在进程中的作用?

●进程的“敏感信号表”也称敏感表,是进程的激活条件,可由一个或多个信号

组成,各信号间以“,”号分隔。当敏感信号表中的任一个信号有事件发生,即发生任意变化,此时,进程被激活,进程中的语句将从上到下逐句执行一遍,当最后一条语句执行完毕之后,进程即进入等待挂起状态,直到下一次敏感表中的信号有事件发生,进程再次被激活,如此循环往复。

3什么是库、程序包、子程序、过程调用和函数调用?

●库和程序包用来描述和保存元件、类型说明和子程序等,以便在其它设计中通过其

目录可查询、调用。子程序由过程和函数组成。在子程序调用过程中,过程能返回多个变量,函数只能返回一个变量。若子程序调用的是一个过程,就称为过程调用,若子程序调用的是一个函数,则称为函数调用。过程调用、函数调用都是子程序调用。

二.改错题

1.已知sel为STD_LOGIC_VECTOR(1 DOWNTO 0)类型的信号,而a、b、c、d、q均为STD_LOGIC

类型的信号,请判断下面给出的CASE语句程序片段:

●CASE sel IS

●WHEN“00”=>q<=a;

●WHEN“01”=>q<=b;

●WHEN“10”=>q<=c;

●WHEN“11”=>q<=d;

●END CASE;

●答案:CASE语句缺“WHEN OTHERS”语句。

2.已知data_in1, data_in2为STD_LOGIC_VECTOR(15 DOWNTO 0) 类型的输入端口,data_out 为STD_LOGIC_VECTOR(15 DOWNTO 0)类型的输出端口,add_sub为STD_LOGIC类型的输入端口,请判断下面给出的程序片段:

●LIBRARY IEEE;

●USE IEEE.STD_LOGIC_1164.ALL;

●ENTITY add IS

●PORT(data_in1, data_in2:IN INTEGER;

●data_out:OUT INTEGER);

●END add;

●ARCHTECTURE add_arch OF add IS

●CONSTANT a:INTEGER<=2;

●BEGIN

●data_out<=( data_in1+ data_in2) * a;

●END addsub_arch;

答案:常量声明时赋初值的“<=”符号应改用“:=”符号。

3.已知Q为STD_LOGIC类型的输出端口,请判断下面的程序片段:

●ARCHITECTURE test_arch OF test IS

●BEGIN

●SIGNAL B:STD_LOGIC;

●Q<= B;

END test_arch

答案:信号SIGNAL的声明语句应该放在BEGIN语句之前。

4.已知A和Q均为BIT类型的信号,请判断下面的程序片段:

●ARCHITECTURE archtest OF test IS

●BEGIN

●CASE A IS

●WHEN ‘0’=>Q<=‘1’;

●WHEN ‘1’=>Q<=‘0’;

●END CASE;

●END archtest;

答案:CASE语句应该存在于进程PROCESS内。

EDA知识要点:

1、目前流行的HDL语言有那些?;

2、什么是ASIC。

3、VHDL是由什么机构制定并公布的。

4、VHDL的两大类基本描述语句是什么。

5、MAX+PLUSⅡ平台上,原理图、仿真波形文件、VHDL文件的扩

展名是什么?

6、结构体常见的功能语句有那些?

7、子程序分为那两类,其结构为什么。

8、信号与变量的赋值有何区别?。

9、可编程器件分为哪些类?

10、VHDL中常见的库有那些?。

11、不完整的条件语句与完整的条件语句生成的电路有何区别

12、VHDL的标识符由什么构成。

13、VHDL中预定义数据类型有那些?。

14、CASE语句使用当中的注意事项。

15、目前国际上较大的PLD器件制造公司有那几家公司。

16、VHDL数据对象有什么

17、赋值语句分哪些类,分别写出一句赋值语句。

18、实现时序电路和逻辑组合电路分别用什么语句实现,分别写出

他们的一般表式。

19、简述元件例化语句组成及语句格式。

20、数据对象有哪些种,分别写出定义这些数据对象的一般表述格

式。

21、简述进程语句的使用要点?

22、写出VHDL常用的顺序语句的名称。

23、简述VHDL逻辑操作符的种类及所允许的操作数的数据类

型。

24、EDA技术的含义。

25、VHDL语言中的逻辑操作符有那些?

26、目前较流行的集成EDA开发环境(软件)有那些?

27、简述EDA技术的CPLD/FPGA的设计流程。

28、写出实体中的PORT语句结构并说明其作用。

29、简述EDA技术经历了那几个发展阶段。

30、写出元件例化语句语句格式,并说明其作用。

31、试比较图形输入法和文本输入法有何优缺点?

32、结构体的语言格式与作用。

33、写出PROCESS语句结构的一般表达格式?

34、EDA技术常用的输入方法有?

35、什么是实体和结构体,其功能是什么?,

36、MAX+pulsⅡ的编辑窗口有那几种,分别是什么?

37、MAX+pulsⅡ的原理图输入法、文本输入法、波形输入法生成的

文件扩展名为?

38、VHDL的操作符有那几大类?每一类的操作符分别是什么?每

一类操作符可以对那些数据进行操作(运算)?

39、VHDL中如没有特别的说明算术操作符‘ + ’号对应的操作数