结合proteus实现4路8路彩灯—电路仿真实验
- 格式:doc
- 大小:59.50 KB
- 文档页数:2
一.任务要求1.1设计任务利用Proteus 进行仿真设计直流稳压电源,通过对相关参数的计算来选择恰当的元器件,元器件,设计出电路,设计出电路,设计出电路,经过仿真和焊电路板的实验结果表明,经过仿真和焊电路板的实验结果表明,经过仿真和焊电路板的实验结果表明,此可调的直流稳压此可调的直流稳压电源满足设计要求。
1.2要求通过设计学会;(1)如何选择变压器、整流二极管、滤波电容及调整三极管或集成稳压块;(2)合理选择电路结构,并完成全电路元器件参数设计、绘制电路图;(3)短路保护实现方法(4)掌握直流稳压电源的调试及主要技术指标1.3 技术指标1)设计一个可调直流稳压电源。
2)输出电压1.25V-37V 1.25V-37V 可调可调3)最大输出电流:)最大输出电流:1.5A 1.5A 4)4)电压调整精度达电压调整精度达0.1%二.工作原理直流稳压电源是一种将220V 工频交流电转换成稳压输出的直流电的装置,它需要变压、整流、滤波、稳压四个环节才能完成。
一般由电源变压器、整流滤波电路及稳压电路所组成,基本框图如下:(1)(1)电源变压器:是降压变压器,它的作用是将电源变压器:是降压变压器,它的作用是将电源变压器:是降压变压器,它的作用是将220V 220V 220V的交流电压变换成整流滤波的交流电压变换成整流滤波电路所需要的交流电压电路所需要的交流电压Ui Ui Ui。
变压器的变比由变压器的副边按确定,变压器副边与原边的功率比为原边的功率比为P2/P1=n P2/P1=n P2/P1=n,式中,式中,式中n n 是变压器的效率。
(2)(2)整流电路:利用单向导电元件,将整流电路:利用单向导电元件,将整流电路:利用单向导电元件,将50HZ 50HZ 50HZ的正弦交流电变换成脉动的直流电。
的正弦交流电变换成脉动的直流电。
(3)(3)滤波电路:可以将整流电路输出电压中的交流成分大部分滤除。
滤波电路滤滤波电路:可以将整流电路输出电压中的交流成分大部分滤除。
流水灯在Proteus下的仿真实现重庆邮电大学微处理器与嵌入式系统设计课程实验报告实验名称:流水灯在Proteus下的仿真实现学期:2014-2015学年第2学期班级:学号:学生姓名:任课教师:实验教室:二〇一五年〇四月十四日【实验名称】流水灯在proteus下的仿真实现实验要求:1、基本要求:4个流水灯循环闪烁,1、3、2、4流水灯交替闪烁;2、扩展要求:8个流水灯依次闪烁,1、3、5、7、2、4、6、8流水灯交替闪烁,流水灯逐渐亮1、1-2、1-3、1-4、1-5、1-6、1-7、1-8。
实验目的:1、熟悉proteus 软件,了解proteus 软件的结构组成和功能,掌握 Proteus软件在单片机仿真中的应用,为开发、调试单片机应用系统做准备;2、熟练掌握 isis 环境中电路图的绘制;3、熟悉keil c51软件的程序设计方法;4、掌握keil c51软件与proteus软件联合仿真调试的方法。
实验方法:1、通过proteus软件绘制出由单片机和LED构成的仿真电路;2、通过keil软件编写控制单片机并点亮LED的程序;3、将keil生成的hex文件导入proteus中的单片机中,实现仿真。
4、观察仿真现象。
实验步骤:1、打开软件:双击ISIS 7 Professional 图标,随后就进入了 Proteus ISIS 集成环境。
2、添加元器件:单击pick from libraries,通过搜索栏搜索所需元件(如:AT89C52、LED),根据元件预览区域的显示,双击所需元件,元件则出现在原理图编辑环境的元件列表区。
用同样方法找出原理图所需全部元件。
3、放置元器件:在元件列表区单击所需放置的元件,将鼠标置于图形编辑窗口该对象的欲放位置,单击鼠标左键,该对象完成放置。
同样方法放置原理图所需全部元件。
4、放置电源和地:选择terminal mode选择电源和地,以放置元器件相同的放法放置电源和地。
protues教程科教案(甲)protues教程科教案(乙)protues教程科教案(乙)授课时间2015年4月14日星期二第4节班级第2页时间安排教学内容、教学过程教学方法与学习指导10分钟电路主要由:74ls74双D触发器、74ls00与非门、74ls04反向器、74ls194双向移位计数器、发光二极管组成。
图2.四路彩灯的仿真图(1)74ls7474ls74是一种双上升沿D触发器芯片,下面介绍他的引脚功能:1Q、2Q、输出端 1CP、2CP 时钟输入端1D、2D 数据输入端 CLR1、CLR2 直接复位端(低电平有效)PR1、PR2 直接置位端(低电平有效)作用:给74ls194的S0,S1产生一个三进制同步计数器电路图74ls74引脚图及功能protues教程科教案(乙)授课时间2015年4月14日星期二第4节班级第3页时间安排教学内容、教学过程教学方法与学习指导25分钟(2)74ls194其中:D0~D3为并行输入端;Q0~Q3为并行输出端; S1\S0--操作模式控制端SR--右移串行输入端 CR/--为直接无条件清零端SL--左移串行输入端; CP--为时钟脉冲输入端(三)、电路原理分析由protues自带数字脉冲一路通过4组D触发器产生74LS194中控制端S0,S1的三进制同步计数器信号,另外一路通过与非门电路产生74LS194的时钟信号源,从而使74LS194能控制led根据控制信号的不同执行相应的工作状态。
(四)、绘制仿真电路图(五)、分析故障现象及原因1.彩灯只有一种花样变化,没有其它的花样:原因:可能是移位计数器的S0,S1端控制出现问题,应该检查产生S0,S1的三进制同步计数器电路,电路是否连接正确。
2.彩灯无显示原因:检查CLK是否有信号输入,检查信号源或者与非门电路,可以用示波器检测。
74ls149引脚图及功能常见故障protues教程科教案(乙)。
结合proteus实现路路彩灯—电路仿真实验路灯是城市道路的重要设施之一,可以提供夜间行车和行人活动的安全保障。
随着科技的不断发展,彩灯逐渐取代传统的白灯,给城市增添了一抹亮丽的色彩。
在本文中,我们将结合Proteus软件实现路灯电路的仿真实验。
路灯电路主要由三个部分组成:电源部分、控制部分和照明部分。
电源部分提供电能给整个电路系统,控制部分负责控制灯的开关和亮度,照明部分则是实现灯光的发光。
首先,我们需要选择合适的元件来搭建电路。
在Proteus中,我们可以在元件库中找到各种电子元件。
对于电源部分,我们可以选择一个直流电源和一个电容器来实现稳定的输出电压。
控制部分可以选择一个单片机,用来控制彩灯的开关和亮度。
照明部分可以选择一个LED灯和一个电阻,来实现灯光的发光。
接下来,我们需要将这些元件进行连接。
在Proteus中,我们可以通过拖拽元件并连接它们的引脚来完成电路的搭建。
首先,将直流电源和电容器连接在一起,以提供稳定的电压输出。
然后,将单片机的引脚连接到LED灯和电阻上,以控制灯的开关和亮度。
最后,将LED灯和电阻连接在一起,以实现灯光的发光。
完成电路搭建后,我们可以进行仿真实验了。
在Proteus中,我们可以设置各个元件的参数和初始状态,并运行仿真实验来观察电路的工作情况。
通过调整单片机的引脚状态,我们可以控制灯的开关和亮度,并观察LED灯的发光情况。
在仿真实验中,我们可以通过改变电源电压和电阻值来模拟不同的工作情况。
例如,可以降低电源电压来观察灯的亮度变化,或者改变电阻值来观察灯的颜色变化。
通过这些实验,我们可以更好地理解电路的工作原理和性能特点。
总结起来,通过结合Proteus实现路灯电路的仿真实验,我们可以更好地理解电路的工作原理和性能特点。
通过调整参数和运行实验,我们可以观察电路的工作情况,并优化电路设计。
这对于提高路灯电路的可靠性和性能具有重要意义,也为我们进一步研究和开发新型路灯电路提供了基础。
程序和PROTEUS图已经做出来了(如下),preteus图可以运行简单的彩灯程序,但是运行这个程序时灯只是全亮不动,程序和proteus图都找不出问题,希望高手指点一二。
要求:1 从左到右排列,编号为1~8号。
系统启动后,灯管点亮的顺序依次为:1号→2号→3号→...→7号→8 号,时间间隔为1S。
8根彩灯全亮后,持续10S。
然后按照8号→7号→6号→...→2号→1号的顺序依次熄灭,时间间隔为1S。
灯管全部熄灭后,等待2S,再从8号灯管开始,按照8号→7号→6号→...→2号→1号的顺序依次点亮,时间间隔为1S。
全部点亮后持续20S,再按照1号→2号→3号→...→7号→8号的顺序熄灭,时间间隔仍为1S。
灯管全部熄灭后,等待2S,再重新开始上述过程的循环。
下面是已经做出来的程序:ORG 0000HLJMP STARTORG 0030HSTART: MOV TMOD,#10HMOV TH1,#3CHMOV TL1,#0B0H ;定时器1工作于模式1,时间为50msMOV P1,#0FFH ;低电平有效,灯熄灭MOV R7,#00HLOOP1: INC R7MOV A,R7MOV DPTR,#TAB1MOVC A,@A+DPTRMOV P1,ALCALL DELAY1CJNE R7,#8,LOOP1;8次没显示完则继续循环LCALL DELAY2;过程1MOV R7,#8LOOP2: DEC R7MOV A,R7MOVC A,@A+DPTRMOV P1,ALCALL DELAY1CJNE R7,#0,LOOP2;8次没完则继续循环LCALL DELAY1MOV R7,#0HLOOP3:INC R7MOV DPTR,#TAB2MOV A,R7MOVC A,@A+DPTRMOV P1,ALCALL DELAY1CJNE R7,#8,LOOP3;8次未完继续循环LCALL DELAY3MOV R7,#8HLOOP4:DEC R7MOV A,R7MOVC A,@A+DPTRMOV P1,ALCALL DELAY1CJNE R7,#0,LOOP4;8次未完继续循环LCALL DELAY1LJMP START ;开始下一个循环DELAY1:MOV R0,#20LOOP5:SETB TR1JNB TF1,$DJNZ R0,LOOP5CLR TR1RET ;一秒延时DELAY2:MOV R0,#9LOOP6:LCALL DELAY1DJNZ R0,LOOP6RET ;9秒延时DELAY3:MOV R0,#19LOOP7:LCALL DELAY1DJNZ R0,LOOP7RET ;19秒延时TAB1:DB 0FFH,7FH,3FH,1FH,0FHDB 07H,03H,01H,00HTAB2:DB 0FFH,0FEH,0FCH,0F8H,0F0HDB 0E0H,0C0H,080H,00HEND这是proteus图。
四路彩灯设计实验报告1. 引言彩灯设计实验是电子实践课程中的一项基础实验,通过设计和搭建电路,控制四路彩灯的亮灭和颜色变化,培养学生对电路原理和电子元件的实际运用能力。
本实验报告将详细介绍实验的设计思路、实验过程和实验结果,并对实验中遇到的问题进行分析和总结。
2. 设计思路本实验的主要目标是设计一个能够控制四个灯泡亮灭和变化颜色的电路。
基于这个目标,我们采用了以下设计思路:1. 使用Arduino开发板作为控制中心,通过编程实现对彩灯的控制。
2. 运用PWM (脉宽调制)技术来控制灯泡的亮度和颜色变化。
3. 使用LED灯泡作为彩灯的光源,通过调整电流来控制亮度和颜色。
3. 实验过程3.1 实验器材和元件- Arduino开发板- 面包板- 杜邦线- RGB LED灯泡x 4- 电阻x 4- 电阻箱- 电源3.2 实验步骤3.2.1 电路搭建首先,我们将Arduino开发板和面包板连接起来,并将四个RGB LED 灯泡和电阻连接到面包板上。
连接电路的示意图如下:3.2.2 程序编写接下来,我们使用Arduino开发软件编写程序。
程序的基本思路是通过控制PWM输出来控制灯泡的亮灭和颜色变化。
程序的核心代码如下:int redPin = 9;int greenPin = 10;int bluePin = 11;void setup() {pinMode(redPin, OUTPUT);pinMode(greenPin, OUTPUT);pinMode(bluePin, OUTPUT);}void loop() { analogWrite(redPin, 255); analogWrite(greenPin, 0); analogWrite(bluePin, 0); delay(1000);analogWrite(redPin, 0); analogWrite(greenPin, 255); analogWrite(bluePin, 0); delay(1000);analogWrite(redPin, 0); analogWrite(greenPin, 0); analogWrite(bluePin, 255); delay(1000);analogWrite(redPin, 255);analogWrite(greenPin, 255);analogWrite(bluePin, 0);delay(1000);}3.2.3 实验验证完成电路搭建和程序编写后,我们将Arduino开发板连接到电脑上,上传程序,并将电源接入电路。
基于Proteus的直流电源仿真设计四路彩灯设计导言:随着科技的发展,彩灯逐渐成为人们生活中的一部分。
彩灯的出现不仅为人们的生活增添了色彩,还提高了生活质量。
在本文中,我们将基于Proteus软件进行直流电源仿真设计,设计一个四路彩灯系统,为读者展示如何通过Proteus软件进行直流电源仿真设计。
一、设计原理:在设计四路彩灯系统之前,我们先了解一下设计的基本原理。
彩灯系统主要由控制电路和灯光电路两部分组成。
控制电路负责控制彩灯的亮灭状态,而灯光电路则负责产生彩灯的颜色和亮度。
在控制电路中,我们使用Arduino开发板作为控制器。
Arduino开发板具有丰富的IO接口和易于编程的特点,非常适合用于彩灯控制。
我们可以通过Arduino开发板的数字输出接口控制灯光电路的亮灭状态。
在灯光电路中,我们使用RGBLED作为彩灯的光源。
RGBLED由红、绿、蓝三种颜色的LED组成,通过不同的亮度和组合方式可以产生各种颜色的光。
为了控制RGBLED的亮度,我们使用PWM(脉宽调制)技术。
通过调节PWM信号的占空比,可以控制RGBLED的亮度。
二、设计步骤:1. 准备工作:首先,我们需要准备好所需的硬件和软件。
硬件方面,我们需要一块Arduino开发板、四个RGB LED和相应的电阻。
软件方面,我们需要安装Proteus软件,以及Arduino IDE用于编写控制程序。
2. 硬件连线:将Arduino开发板和RGB LED连接起来。
将RGB LED的长脚连接到Arduino开发板的数字输出接口,将短脚连接到相应的电阻上,再将电阻的另一端连接到地。
3. 编写控制程序:打开Arduino IDE,编写控制程序。
控制程序主要包括初始化设置和循环控制两部分。
在初始化设置中,我们需要设置Arduino开发板的IO接口为输出状态,并将初始状态设置为LOW。
在循环控制中,我们通过循环语句控制每个彩灯的亮灭状态和颜色。
4. 仿真设计:打开Proteus软件,新建一个电路图。
八路彩灯控制系统实验报告姓名:学院:电子工程学院学号:同作人:一实验目的1.熟悉数字电路中时序逻辑电路与组合逻辑电路的设计。
2.熟悉并掌握用移位寄存器设计彩灯控制器的方法。
二设计任务与要求1.彩灯明暗变换节拍为0.25S和0.5S,两种节拍交替运行。
2. 彩灯演示花型为三种(花型自拟)。
3. 彩灯用发光二极管模拟。
要求:即能控制8路LED以两种节拍、三种花型连续循环演示。
三参考器件给定器件为四2输入与非门(74LS00)1只,六反相器(74LS04)1只,二进制同步计数器(74LS161)2只,四位双向移位寄存器(74LS194)2只,四2选1数据选择器(74LS157)1只,555定时器1只,电阻、电容若干四实验要求按照设计任务设计电路,然后在仿真软件上进行虚拟实验,正确后,在实验板上搭建实验电路,观察彩灯花型是否正确,如果不正确,排除故障直至正确为止。
最后一步是撰写实验报告、整理文档,对实验进行总结。
五设计说明彩灯控制器原理框图如图5-45所示。
图中,虚线以上为处理器,虚线以下是控制器。
从图5-45可以看出,编码发生器的功能是:根据花型要求按节拍送出8位状态编码信号,以便控制灯的亮灭。
其电路可以选用四位双向移位寄存器来实现。
八路灯用两片移位寄存器级联就可以实现。
缓冲驱动电路的功能是:提供彩灯所需要的工作电压和电流,隔离负载对编码发生器工作的影响。
彩灯控制器对定时器的要求不高,振荡器可采用环形振荡器或555定时器来实现。
控制电路为编码发生器提供所需要的节拍脉冲和控制信号,以同步整个系统工作。
最终效果1. 从左至右顺次渐亮,全亮后逆序渐灭;2. 中间到两边对称地逐渐渐亮,全亮后,再由中间向两边逐一熄灭;3. 八路灯分两半,从左至右顺次渐亮,全亮后全灭。
原理框图VHDL语言library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity balucaideng isport(clk:in std_logic;en:in std_logic;clr:in std_logic;l:out std_logic_vector(7 downto 0)--control signal of the balucaideng );end balucaideng;architecture one of balucaideng issignal count_q:integer range 0 to 86;begincounter:process(clk,clr)beginif(clr='0')thencount_q<=0;elsif(clk'event and clk='1')thenif(en='1')thenif(count_q<28)thencount_q<=count_q+1;elsecount_q<=0;end if;end if;end if;end process counter;table:process(count_q)begincase count_q iswhen 0=>l<="10000000";when 1=>l<="11000000";when 2=>l<="11100000";when 3=>l<="11110000";when 4=>l<="11111000";when 5=>l<="11111100";when 6=>l<="11111110";when 7=>l<="11111111";when 8=>l<="11111110";when 9=>l<="11111100";when 10=>l<="11111000";when 11=>l<="11110000";when 12=>l<="11100000";when 13=>l<="11000000";when 14=>l<="10000000";when 15=>l<="00000000";when 16=>l<="00011000";when 17=>l<="00111100";when 18=>l<="01111110";when 19=>l<="11111111";when 20=>l<="00011000";when 21=>l<="11000011";when 22=>l<="10000001";when 24=>l<="10001000"; when 25=>l<="11001100"; when 26=>l<="11101110"; when 27=>l<="11111111"; when 28=>l<="00000000"; --0.25swhen 29=>l<="10000000"; when 30=>l<="10000000"; when 31=>l<="11000000"; when 32=>l<="11000000"; when 33=>l<="11100000"; when 34=>l<="11100000"; when 35=>l<="11110000"; when 36=>l<="11110000"; when 37=>l<="11111000"; when 38=>l<="11111000"; when 39=>l<="11111100"; when 40=>l<="11111100"; when 41=>l<="11111110"; when 42=>l<="11111110"; when 43=>l<="11111111"; when 44=>l<="11111111"; when 45=>l<="11111110"; when 46=>l<="11111110"; when 47=>l<="11111100"; when 48=>l<="11111100"; when 49=>l<="11111000"; when 50=>l<="11111000"; when 51=>l<="11110000"; when 52=>l<="11110000"; when 53=>l<="11100000"; when 54=>l<="11100000"; when 55=>l<="11000000"; when 56=>l<="11000000"; when 57=>l<="10000000"; when 58=>l<="10000000"; when 59=>l<="00000000"; when 60=>l<="00000000"; when 61=>l<="00011000"; when 62=>l<="00011000"; when 63=>l<="00111100"; when 64=>l<="00111100"; when 65=>l<="01111110";when 67=>l<="11111111";when 68=>l<="11111111";when 69=>l<="00011000";when 70=>l<="00011000";when 71=>l<="11000011";when 72=>l<="11000011";when 73=>l<="10000001";when 74=>l<="10000001";when 75=>l<="00000000";when 76=>l<="00000000";when 77=>l<="10001000";when 78=>l<="10001000";when 79=>l<="11001100";when 80=>l<="11001100";when 81=>l<="11101110";when 82=>l<="11101110";when 83=>l<="11111111";when 84=>l<="11111111";when 85=>l<="00000000";when 86=>l<="00000000";--0.5swhen others =>l<="11111111";end case;end process table;end one;仿真波形花型一花型二花型三。
基于Proteus的八路彩灯控制电路设计仿真与制作作者:陈家敏李建兰来源:《现代信息科技》2019年第03期摘要:本文采用555定时器外接电阻、电容等元件构成多谐振荡器,产生时钟脉冲信号,采用双向移位寄存器74LS194和门电路构成循环移位控制电路,可实现八路彩灯循环左移、右移。
在电路设计仿真时使用Proteus仿真软件,制作时使用面包板作为载体,能够提高电路设计制作的效率,降低电路调试难度。
关键词:74LS194;555定时器;八路彩灯;Proteus;面包板中图分类号:TN710 文献标识码:A 文章编号:2096-4706(2019)03-0026-03Simulation and Production of Eight-way Color Lamp Control Circuit DesignBased on ProteusCHEN Jiamin,LI Jianlan(Yunnan Vocational College of Mechanical and Electrical Technology,Kunming 650203,China)Abstract:In this paper,555 timer external resistors,capacitors and other components are used to form a multi-resonator to generate clock pulse signals. Bidirectional shift register 74LS194 and gate circuit are used to form a cyclic shift control circuit which can realize the cyclic left-shift and right-shift of eight-way color lamps. Proteus simulation software is used in circuit design and simulation. Breadboard is used as carrier in circuit design and simulation,which can improve the efficiency of circuit design and manufacture and reduce the difficulty of circuit debugging.Keywords:74LS194;555 timer;eight-way color lamp;Proteus;breadboard0 引言Proteus是英国Labcenter electrical公司研发的EDA软件,它是一个集模拟电路、数字电路、模数混合电路以及多种微控制器系统为一体的系统设计和仿真平台。
《8路彩灯控制电路设计》课程设计报告专业:班级:姓名:学号:同组成员:指导教师:赵玲2015年1 月7 日目录一、课程设计目的 (3)二、课程设计要求 (3)(一)、彩灯控制器设计要求 (3)(二)、课程设计总体要求 (3)三、课程设计内容 (3)(一)、设计原理分析 (3)(二)、器件选择 (5)(三)、具体电路连线及设计思路 (6)1、时钟控制电路 (6)2、花色控制电路 (7)3、花色演示电路 (8)4、总体电路图 (10)四、实际焊接电路板思路及过程 (11)(一)、设计思路及电路图 (11)(二)、设计及焊接过程 (11)(三)、电路板展示 (12)五、课程设计总结与体会 (13)一、课程设计目的1.巩固数字电路技术基础课程所学的理论知识,将学习到的理论知识落实到实际,所谓学以致用。
并且将模拟电路技术基础和电路分析基础等课程的所学知识加以强化。
2.熟悉几种常用集成数字芯片74LS161、74LS194等的功能和应用,并掌握其工作原理,并将这几种芯片的应用结合起来。
从而学会使用常用集成数字芯片进行电路设计。
3.学会使用protues软件进行模拟电路仿真,并且学会将仿真电路实现。
4.了解数字系统设计的基本思想和方法,学会科学分析和解决问题,学会使用基本元器件其进行电路设计。
5.培养自己的动手能力,团队协作能力。
二、课程设计要求(一)、彩灯控制器设计要求设计并制作8路彩灯控制电路,用以控制8个LED按照不同的花色闪烁,要求如下:1.接通电源,电路开始工作,LED灯闪烁;2.LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种模式;3.(选做内容)闪烁时实现快慢两种节拍的变换。
(二)、课程设计总体要求(1)根据设计任务,每人独立完成一份设计电路图,并要求仿真实现;(2)根据设计的电路图,两人一组,利用万能板完成电路的焊接,并调试成功;(3)每人独立完成一份设计报告。
三、课程设计内容(一)、设计原理分析1.基本原理如下:总体电路共分三大块。
单片机实训报告4个8×8LED点阵显示第一天:我们来到实训室,根据老师发下来的项目实训资料来完成单片机控制4个8×8LED显示实训。
我们认真阅读实训内容,打开电脑,打开单片机系统,打开proteu软件,放置以及排序芯片,电阻、译码器、单片机控制的4个8×8LED点阵显示屏。
第二天:对做好的硬件连接之后,检查所连接好的线是否正确。
根据任意编程进行点阵显示,排查连接的是否有错误。
第三天:分别打开EA T589、字模软件,在EA T598软件上进行编程的时候分清子程序码,使用字模软件的横向取模,取汉字的A51格式。
第四天:打开软件,在软件上进行汇编语言程序设计,设计出了汉字显示,学习和熟悉单片机的指令程序。
第五天:根据之前所设计的汉字显示来修改,直到完成汉字的切换以及汉字的滚动效果。
然后根据自己的兴趣完成自己的作品。
实训结果:硬件连接完成,可以实现之后的汉字显示汉字切换以及汉字滚动,认真仔细的接好硬件连接,注意在字模上的取模,经过系列的汇编语言程序设计正常运行体会:通过这一周的实训,我们很好的完成了单片机的实训,收获了许多单片机的知识。
完成了单片机控制4个8×8点阵显示汉字、切换以及滚动汉字。
在这次的单片机实训中,了解单片机的用途,译码器原理,掌握单片机的编译程序和装载并进行运行,学习硬件和软件的基本操作,熟悉运行结果及检查进行实践。
ORG 0000HLJMP MAINORG 0040H MAIN:MOV SP,#5FH MOV DPTR,#TAB MOV R1,#0 LCALL LALJMP MAINLA:MOV R0,#00H MOV R2,#0MOV R4,#32LB:MOV P1,R0;行MOV A,R2MOVC A,@A+DPTR MOV P0,AINC R2MOV A,R2MOVC A,@A+DPTR MOV P2,ALCALL DELAYINC R2INC R0DJNZ R4,LBMOV R5,#8DJNZ R5,LARETDELAY: MOV R6,#20 D1: MOV R7,#30D2: DJNZ R7,$DJNZ R6,D1RETTAB:DB04H,20H,04H,20H,0FFH,0FEH,04H,20H,00H,00H,7CH,0F8H,44H,8 8H,44H,0F8H DB 44H,88H,7CH,88H,44H,0F8H,44H,88H,7DH,08H,45H,08H,42H,28 H,04H,10HEND实训步骤:1.硬件连接2.检查连线是或否正确3.根据编程进行点阵显示4.打开软件进行设计汉字的显示5.分清程序各码进行编译程序并运行6.自己的趣味设计。
四路彩灯设计实验报告
彩灯是各式电工装置中一种最常见的家用电器,它可以不同的颜色照亮空间,改善视觉效果。
近年来,一些杰出的电气和光学工程师,经过深入的研究和实验,将普通的彩灯制作技术发展到更新颖的彩色灯饰安装领域,因此,引发了四路彩灯的普及和发展。
为了研究四路彩灯相关知识,我在实验室中进行了“流量控制四路彩灯”的设计实验,实验场地为实验室中的桌面。
首先,根据实验的要求,我在实验室中购买了一台四路彩灯,以及相关电子元件,如三极管、电阻、电容、电阻、LED灯等,把四路彩灯安装在实验台上,装上电源供给开关,可以满足实验室实验需求。
然后,根据实验需要,在实验台上,建立了相关电路线路,接下来,在电路线路设计中,采用了把莫尔斯电码传输到芯片中,让芯片处理后,通过把四个LED灯串联起来,来控制四路彩灯的亮度,就完成了流量控制功能。
最后,完成以上电路设计,使四路彩灯按照一定节奏闪烁,实现对四路彩灯可控,内容丰富多样。
通过实验,我们学习到了LED显示器的电路结构,以及“流量控制四路彩灯”的实现原理,详细了解了彩色灯的基本工作原理,并取得了不错的结果。
因此,使用这款四路彩灯装置可以获得非常可观的成果,使空间更加活跃。
在经历这次设计实验后,我的实验技能也得到了大幅度提高,受益匪浅。
页眉内容《8路彩灯控制电路设计》课程设计报告2015年1月8日目录1.课程设计目的 (1)2.课程设计题目描述和要求 (1)8.附件 (8)1.课程设计目的1.1进一步掌握数字电路课程所学的理论知识并应用于实际中。
1.2了解数字电路设计的基本思想和方法。
1.3掌握电路板的焊接技术,锻炼实际动手操作能力。
2.课程设计题目描述和要求2.集成双向移位寄存器74LS194的逻辑符号与功能表4.1 时钟信号电路将555定时器的TH和TR两个输入端连在一起作为信号输入端,即得到施密特触发器。
然后将555定时器的DC端经过RC积分电路接回输入端就构成多谐振荡器。
电路的震荡周期为T=(R1+2R2)Cln2.仿真电路周期为T=(47000+2*47000)*4.7*0.00001*ln2=4.5935.仿真电路图中时钟信号电路如下:向移位寄存器74LS194的MR处于高电平,S0,S1的状态决定它的工作状态,其4个信号输出端Q0-Q3分别连接D1-D4、D5-D8八个发光二极管,输出信号可以控制发光二极管的亮灭,从而实现花型变换。
第一次花型变换:第二个74LS161的Q2、Q3输出端处在零状态时,第一个74LS194的S0=1、S1=0,处在右移的工作状态中,红灯依次由D1亮到D4;同时第二个74LS194的S0=0,S1=1,处在左移的工作状态中,红灯依次由D8亮到D5。
然后74LS161触发8次清零一次,第一次花型变换完成。
第二次花型变换:74LS161的Q2=1、Q3=0时,第一个74LS194的S0=0、S1=1,处在左移的工作状态中,红灯依次由D4亮到D1;同时第二个74LS194的S0=0,S1=1,处在左移的工作状态中,红灯依次由D8亮到D5。
然后清零,第二次花型变换完成。
第三次花型变换:74LS161的Q2=0、Q3=1时,第一个74LS194的S0=1、S1=0,处在右移的工作状态中,红灯依次由D1亮到D4;同时第二个74LS194的S0=1,5.2 电路仿真结果分析该电路图在proteus软件中仿真成功,可以实行四种花型变换:八个红灯从D1和D8开始同时对称的向中间依次点亮,然后全部熄灭;八个红灯从D4和D8开始同时向上面依次点亮,然后全部熄灭;八个红灯从D1和D5开始同时向下面依次点亮,然后全部熄灭;八个红灯从D4和D5开始同时对称的向两边点亮,然后熄灭。
《8路LED彩灯控制》课程设计报告专业:班级:姓名:学号:同组成员:指导教师:2011年 1 月 8 日目录一、课程设计目的 (3)二、课程设计题目描述和要求 (3)三、课程设计报告内容 (3)3.1 器件选择 (3)3.2 实验电路图 (3)3.3 原理分析 (4)3.3.1 脉冲源产生模块 (4)3.3.2 定时器模块 (4)3.3.3 寄存器模块 (4)3.4 具体思路和设计过程 (4)3.4.1 设计思路 (4)3.4.2 具体设计过程 (5)四、焊接与调试 (6)五、总结体会 (6)一、课程设计目的随着社会的发展,人民生活水平不断提高,现代人们越来越注重节能和装饰,因此LED作为一种超节能产品在实际生活中得到广泛应用,它不仅工作电压低,而且使用寿命很长,所以它受到大多数灯光装饰师的青睐。
本设计用8路led设计出的彩灯控制电路具有:低能耗、花色多、性能忧、实用美观等特点,实为家居装饰之首选。
而具体的课程设计(包括电路图设计、电路板焊接、安装与调试),既可以提高我们的动手能力和实际分析问题能力,还有助于我们加深对数字电路的认识和了解,进一步激发学习的兴趣,为后续学习打下坚实基础。
二、课程设计题目描述和要求本设计中的8路LED彩灯控制电路,由555定时器、移位寄存器、计数器等器件构成,主要功能是实现三种花色的交错变换,8路led灯的亮和灭组合起来形成有规律的花色,给人以美感,适用于彩灯装饰。
三.课程设计报告内容3.1 器件选择555定时器一片、十六进制计数器74ls191两片、双向移位寄存器74ls194两片、0.01uf的电容和2.2uf的电容各一个、47k电阻两个、非门74ls04一个、与非门74ls20六个、导线若干。
3.2 实验电路图3.3 原理分析3.3.1 脉冲源产生模块实际上是由555定时器和电容、电阻构成的多谐振荡器,它们负责为后面的各个芯片提供时时脉冲。
脉冲周期T=(R1+R2)Cln2=0.33s3.3.2 定时器模块两块十六进制74ls191计数器接成48进制计数器,上面一块芯片的Q0、Q2、Q3端为后面的移位寄存器提供变换的穿行输入信号;下面一块芯片和中间的非门、与非门共同构成数据处理中端,负责对上方各输出端的信号脉冲进行处理,并且传至寄存器。
沈阳航空航天大学电子信息工程学院电子设计应用软件训练总结报告学生姓名:专业:班级:学号:指导教师:训练时间: 2014年 7 月 7日至 2014 年 7月 11日电子信息工程学院电子设计应用软件训练任务【训练任务】:1、熟练掌握 PROTEUS 软件的使用;2、按照设计要求绘制电路原理图;3、能够按要求对所设计的电路进行仿真;【基本要求及说明】 :1、按照设计要求自行定义电路图纸尺寸;2、设计任务如下:节日彩灯控制器的设计以单片机为核心,设计一个 LED显示的节日彩灯控制器, P1.2 ~ P1.5 引脚上接有4 个按键, 4 个按键的各自的功能如下:P1.2 —开始键,按此键则灯开始流动(由上而下)。
P1.3 —停止键,按此键则停止流动,所有灯为暗。
P1.4 —上,按此键则灯由上向下流动。
P1.5 —下,按此键则灯由下向上流动。
本题目本质上是由按键控制功能的流水灯,LED 工作的方式通过键盘的扫描实现。
其中的LED采取共阳极接法,通过依次向连接LED的I / O口送出低电平,即可实现所要求的功能。
3、按照设计任务在Proteus 6 Professional中绘制电路原理图;4、根据设计任务的要求编写程序,在Proteus 下进行仿真,实现相应功能。
【按照要求撰写总结报告】成绩:_____一、任务说明1、设计任务以单片机为核心,设计一个LED显示的节日彩灯控制器。
2、设计要求P1.2~P1.5引脚上接有4 个按键, 4 个按键的各自的功能如下:P1.2 —开始键,按此键则灯开始流动(由上而下)。
P1.3 —停止键,按此键则停止流动,所有灯为暗。
P1.4 —上,按此键则灯由上向下流动。
P1.5 —下,按此键则灯由下向上流动。
设计一个由按键控制功能的流水灯,LED工作的方式通过键盘的扫描实现其中的 LED采取共阳极接法,通过依次向连接LED的I / O口送出电平,即可实现所要求的功能。
二、方案设计以 89C51 单片机为控制核心,采用模块化的设计方案,采用软硬件相互结合的方法,运用LED彩灯、按键等组成电路,实现一组彩灯在开启时呈现出不一样的点亮状态,通过按键控制节日彩灯的开启、流动方向和熄灭。
结合p r o t e u s实现4路8路彩灯—电路仿真实验(总6页)-CAL-FENGHAI.-(YICAI)-Company One1-CAL-本页仅作为文档封面,使用请直接删除结合proteus实现4路8路彩灯—电路仿真实验一、实验目的4路彩灯,结合proteus分别实现三个过程,构成一个循环共12秒,第一个过程要求4个灯依次点亮,共4秒。
第二过程要求4个灯依次熄灭,共4秒,先亮者后灭,最后4秒要求4个灯同时亮一下灭一下,共闪4下。
主要考察四位双向通用移位寄存器74LS194的灵活应用。
8路彩灯,我们用两片74HC194来完成八路彩灯电路的设计,要求可以和前面的例子一样,8个彩灯从左到右依次点亮,个1秒,共8秒;接下来8个灯从右到左依次熄灭,各1秒,共8秒;最后8个灯同时闪灭8次。
也是8秒;共24秒。
二、实验要求1、绘图必须规范、严谨,可以不拘一格,但要求仿真成功。
2、不得相互拷贝和抄袭,每个仿真电路图下面写上电路名称及自己的班级、学号姓名。
3、Proteus仿真图、相应的源程序(用到单片机的项目)、Word文档实训报告均以电子版形式上交。
三、仿真电路四路彩灯八路彩灯四、实验结果(调试)四路彩灯八路彩灯五、实训心得这次实训实现了四路彩灯,以及八路彩灯通过元件的逻辑功能实现了彩灯的依次点亮,四路彩灯要比八路彩灯简单,我们根据书上的四路彩灯的仿真图,但在仿真的时候出现了问题,要不是不亮,要么就是都亮,经过我们对电路的摸索,发现U5:C与U5;D在输出电信号时存在同时输出电信号,于是在U5;D前加了一个电阻,使其电信号减慢,仿真后实现了四路彩灯依据逻辑依次点亮。
八路彩灯就没有四路彩灯那样顺利了,我们先用两个74LS194来实现八路彩灯电路设计,但我们完成电路图后,但仿真并没有实现八路彩灯依据逻辑依次点亮。
我们想了很久,在反复调试后,最后我们在换用了74HC194后,虽然在前半部闪亮不符合逻辑,但后面的闪亮符合逻辑,还存在小小的瑕疵。
摘要“微机原理与接口技术”是高等学校电子信息工程、通信工程、自动化、电气工程及其自动化等工科电气与电子信息类各专业的核心课程。
该课程以INTER 8086微处理器和IBM PC系列微机为主要对象,系统。
深入地介绍了微型计算机的基本组成、工作原理、接口技术及应用,把微机系统开发过程中用到的硬件技术和软件技术有机地结合起来。
本文详述了8个LED灯循环闪烁的课程设计。
设置8个LED灯,首先是1、3、5、7号LED依次亮1秒钟,当7号LED亮后,这四个灯同时闪烁5下。
然后是2、4、6、8号LED 依次亮1秒钟,当8号LED亮后,这四个灯同时闪烁5下。
本课程设计,由于自身能力和学习水平有限,可能存在一定的错误和不当之处,敬请批评和指正。
一、设计目的1.巩固和加深课堂所学知识;熟悉各种指令的应用及条件;2.学习掌握一般的软硬件的设计方法和查阅、运用资料的能力;3.进一步了解8255A各引脚的功能, 8255A和系统总线之间的连接, 8255A和CPU 之间的数据交换,以及8255A的内部逻辑结构。
深入掌握8255A显示电路的基本功能及编程方法,8255等芯片的工作方式、作用。
4.培养和锻炼在学习完本门课后综合应用所学理论知识,解决实际工程设计和应用问题的能力。
通过课程设计,要求熟悉和掌握微机系统的软件、硬件设计的方法、设计步骤,得到微机开发应用方面的初步训练。
同时并了解综合问题的程序设计掌握实时处理程序的编制和调试方法,掌握一般的设计步骤和流程,使我们以后搞设计时逻辑更加清晰。
二、设计内容根据所学内容和对8255A的应用,整个系统硬件采用8086微处理器和8255A可编程并行接口芯片和8个LED等连成硬件电路。
设计8个LED灯,实现如下要求:首先是1、3、5、7号LED依次亮1秒钟,当7号LED亮后,这四个灯同时闪烁5下。
然后是2、4、6、8号LED依次亮1秒钟,当8号LED亮后,这四个灯同时闪烁5下。
三、设计要求使用8255A可编程接口芯片实现8个LED灯以十种不同的方式显示。
电子技术课程设计报告设计题目:院(部):专业班级:学生姓名:学号:指导教师:本次课程设计应用了彩灯控制器的原理,主要采用74LS93模十六计数器和555多谐振荡器,实现了四花样自动循环变换。
首先要分析设计要求,从要实现四花样入手推导出要使用的芯片。
可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的四种花样。
根据四种花样来确定这四种码,而这四种码可通过模十六计数器74LS161产生。
要实现彩灯的自动转换,把四种码输入四选一数据选择器74LS153的四个输入端,它的地址输入端接双D触发器74LS74的两个输出端,74LS74可产生四种循环的状态,从而实现彩灯的自动转换。
时钟信号由两个555产生,一个产生周期为0.721秒的矩形脉冲控制模十六计数器74LS93和八位右移寄存器74LS164,另一个产生周期为14.01秒的脉冲控制双D触发器。
当彩灯完成一种花样时,双D触发器输出状态改变,数据选择器选择另一种码输出,彩灯变为下一种花样,直到完成四种花样再循环变化。
关键词彩灯、循环、移位寄存器、数据选择器、时钟脉冲、自动转换摘要 (1)关键词 (1)1.绪论 (3)2.设计与要求 (3)2.1内容设计 (3)2.2设计要求 (3)2.3主要元件和材料 (4)3.系统组成及其工作原理 (4)3.1系统组成框图 (4)3.2各模块的组成及功能分析 (4)3.3工作原理分析 (5)4.各部分电路设计 (6)4.1电源电路 (6)4.2四种码产生电路 (7)4.3双彩灯自动转换电路 (8)4.4花样输出电路 (9)4.5总体电路图 (10)5.实验连接和调试 (11)5.1电路的连接和安装 (11)5.2电路的调试 (11)6.结束语与心得体会 (11)参考文献 (13)附录 (14)四花样彩灯控制器1.绪论彩灯控制器有着非常广泛的运用,如:LED彩灯,音乐彩灯控制器,二维彩灯控制器等等。
结合p r o t e u s实现4路8路彩灯—电路仿真实验一、实验目的
4路彩灯,结合proteus分别实现三个过程,构成一个循环共12秒,第一个过程要求4个灯依次点亮,共4秒。
第二过程要求4个灯依次熄灭,共4秒,先亮者后灭,最后4秒要求4个灯同时亮一下灭一下,共闪4下。
主要考察四位双向通用移位寄存器74LS194的灵活应用。
8路彩灯,我们用两片74HC194来完成八路彩灯电路的设计,要求可以和前面的例子一样,8个彩灯从左到右依次点亮,个1秒,共8秒;接下来8个灯从右到左依次熄灭,各1秒,共8秒;最后8个灯同时闪灭8次。
也是8秒;共24秒。
二、实验要求
1、绘图必须规范、严谨,可以不拘一格,但要求仿真成功。
2、不得相互拷贝和抄袭,每个仿真电路图下面写上电路名称及自己的班级、学号姓名。
3、Proteus仿真图、相应的源程序(用到单片机的项目)、Word文档实训报告均以电子版形式上交。
三、仿真电路
四路彩灯
八路彩灯
四、实验结果(调试)
四路彩灯
八路彩灯
五、实训心得
这次实训实现了四路彩灯,以及八路彩灯通过元件的逻辑功能实现了彩灯的依次点亮,
四路彩灯要比八路彩灯简单,我们根据书上的四路彩灯的仿真图,但在仿真的时候出现了问题,要不是不亮,要么就是都亮,经过我们对电路的摸索,发现U5:C与U5;D在输出电信号时存在同时输出电信号,于是在U5;D前加了一个电阻,使其电信号减慢,仿真后实现了四路彩灯依据逻辑依次点亮。
八路彩灯就没有四路彩灯那样顺利了,我们先用两个74LS194来实现八路彩灯电路设计,但我们完成电路图后,但仿真并没有实现八路彩灯依据逻辑依次点亮。
我们想了很久,在反复调试后,最后我们在换用了74HC194后,虽然在前半部闪亮不符合逻辑,但后面的闪亮符合逻辑,还存在小小的瑕疵。