简易信号发生器的设计实现

  • 格式:docx
  • 大小:957.65 KB
  • 文档页数:24

下载文档原格式

  / 24
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

EDA课程设计简易信号发生器的设计实现

小组成员:XXXXXX

XXXXX

专业:XXXXX

学院:机电与信息工程学院指导老师:XXXXXX

完成日期:XX年XX月XX日

目录

引言 (3)

一、课程设计内容及要求 (3)

1、设计内容 (3)

2、设计要求 (3)

二、设计方案及原理 (3)

1、设计原理 (3)

2、设计方案 (4)

(1)设计思想 (4)

(2)设计方案 (4)

3、系统设计 (5)

(1)正弦波产生模块 (5)

(2)三角波产生模块 (6)

(3)锯齿波产生模块 (6)

(4)方波产生模块 (6)

(5)波形选择模块 (6)

(6)频率控制模块 (6)

(7)幅度控制模块 (6)

(8)顶层设计模块 (7)

三、仿真结果分析 (7)

波形仿真结果 (7)

1、正弦波仿真结果 (7)

2、三角波仿真结果 (8)

3、锯齿波仿真结果 (8)

4、方波仿真结果 (8)

5、波形选择仿真结果 (9)

6、频率控制仿真结果 (9)

四、总结与体会 (10)

五、参考文献 (10)

六、附录 (11)

简易信号发生器

引言

信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广范的应用。它能够产生多种波形,如正弦波、三角波、方波、锯齿波等,在电路实验和设备检验中有着十分广范的应用。

本次课程设计采用FPGA来设计多功能信号发生器。

一、课程设计内容及要求

1、设计内容

设计一个多功能简易信号发生器

2、设计要求

(1)完成电路板上DAC的匹配电阻选择、焊接与调试,确保其能够正常工作。

(2)根据直接数字频率合成(DDFS)原理设计正弦信号发生器,频率步进1Hz,最高输出频率不限,在波形不产生失真(从输出1KHz正弦转换为输出最高频率正弦时,幅度衰减不得大于10%)的情况下越高越好。频率字可以由串口设定,也可以由按键控制,数码管上显示频率傎。

(3)可以控制改变输出波形类型,在正弦波、三角波、锯齿波、方波之间切换。

(4)输出波形幅度可调,最小幅度步进为100mV。

二、设计方案及原理

1、设计原理

(1)简易信号发生器原理图如下

2、设计方案

(1)设计思想

本设计基于VHDL编程,采用模块化电路进行整合。系统各模块所需工作时钟信号由输入系统时钟信号经分频后得到,系统时钟输入端应满足输入脉冲信号的要求。组合波形信号经显示模块输出。具备幅度和频率可调功能,幅度可通过电位器调整,频率控制模块则是一个简易的计数器,控制步径为100HZ的可调频率,最终送至脉冲发生模块输出脉冲信号,达到设计课题所要求的输出波形频率可调及幅度可调功能。幅度可调功能由于比较简单,可以在FPGA外部利用硬件电路实现。

(2)设计方案

采用DDS(直接数字频率合成器)来设计,设计总体框图如图2所示。DDS器件采用高速数字电路和高速D/A 转换技术,具有频率转换时间短、频率分辨率高、频率稳定度高、输出信号频率和相位可快速程控切换等优点,所以,我们可以利用DDS具有很好的相位控制和幅度控制功能,另外其数据采样功能也是极具精确和完善的,它可以产生较为精确的任何有规则波形信号,可以实现对信号进行全数字式调制。用FPGA和DDS实现信号调制,既克服了传统的方法实现带来的缺点,若采用它来编程设计,必定会事半功倍,且使设计趋于理想状态。

DDS的主要参数间的关系如下:

频率分辨率=系统时钟频率/2^12;

频率控制字(FTW)=f*2^12/T;

图2 DDS系统结构框图

3、系统设计

(1)正弦波产生模块

正弦波产生模块由相位累加器和查找表组成,相位累加器用于实现相位的累加并存储其累加结果;查找表由ROM生成,其存储的数据是每一个相位所对应的二进制数字正弦幅傎,在每一个时钟周期内,相位累加器输出序列的高n位对其进行寻址,最后输出为该相位对应的二进制正弦幅傎序列。ROM及查找表为如下

ROM为:

查找表为:

(2)三角波产生模块

(3)锯齿波产生模块

(4)方波产生模块

以上几个波形的产生也是基于ROM查找表,ROM的六位地址为输入端,输出端是八位,到时钟脉冲的上升沿到来时依次从地址中读出数据,得到相应的波形。

(5)波形选择模块

这一模块主要原理是根据一个四选一多路选择器来选择输出四种不同的波形,然后通过一个按键对波形进行循环选择,按下确认按钮后进行波形的调用。

(6)频率控制模块

本模块要求频率步进1Hz,最高输出频率不限,在本设计中由20MHz的信号源经分频后得到,根据用户需要的频率,通过编程把频率控制数值传送给各个模块,从而实现频率的控制。

(7)幅度控制模块

本模块要求输出波形幅度可调,在0-3.3V之间变动,最小幅度步进100mV。通过一个乘法电路和一个除法电路实现。

(8)顶层设计模块

三、仿真结果及分析

1、正弦波仿真波形

分析:

clk :输入的时钟信号;

dout :上升沿到来时,输出正弦波取样点的数值;

示波器显示为

2、三角波仿真波形

分析:

Clk :输入的时钟信号;

Dout:上升沿到来时,输出三角波的取样值;示波器显示为

3、锯齿波仿真波形

分析:

clk: 输入的时钟信号;

dout: 上升沿到来时,输出锯齿波的取样值;示波器显示为