示波器和信号发生器的经典制作实例教程,含源代码、电路图、PCB
- 格式:pdf
- 大小:113.18 KB
- 文档页数:2
自制简易的PC信号源与示波器我们在电子技术的学习和实验中常常使用到万用表、信号发生器、示波器等设备。
万用表可以对电子元器件进行检测,也可以测量电路的电压、电流等参数。
示波器可在电路实验或电器维修时,观察电路节点的信号波形,以判断前、后级电路是否正常工作。
在学习模拟电子技术时,信号发生器和示波器还可以帮助我们感性地认识放大器、滤波器、振荡器等电路的特性。
不过这两台设备价格比较贵,在初学阶段或许不一定非要配置。
本文将介绍一种利用几个简单的元器件加一台普通计算机构成的PC 信号源和PC 示波器,成本不到10 元,虽然测量的精度有限,但是对于初学阶段观察使用已经足够了。
外观及使用如图1、图2 所示分别为PC 信号源和PC 示波器的外观。
PC信号源很简单,它由立体声插头、导线、鱼夹组成。
立体声插头插到计算机的耳机插座,在计算机上运行一个PC 信号源软件,就可以在鱼夹上输出频率、幅度可调的正弦波、方波等函数信号。
图2 是PC 示波器,它的鱼夹连接到被测电路的某一节点上,该节点的信号经过保护电路后,由立体声插头送入计算机的麦克风插座,在计算机上运行一个PC 示波器软件,就可以显示信号的波形了。
图1 PC 信号源图2 PC 示波器电路原理图PC 信号源不涉及电路,如图3 所示,它直接把计算机声卡的输出信号引出而已。
而PC 示波器与之不同,它把外界的信号输入计算机,为了保护计算机的声卡,所以添加了一个简单的保护电路,如图4 所示。
被测电路某节点的信号被鱼夹引到保护电路里( 黄色底纹内),保护电路可以限制输入计算机的信号幅度不超过1.4V。
图3 PC 信号源连线图图4 PC 示波器电路及连线图图5 PC 信号源的制作制作过程制作PC 信号源时,可以直接用一根带线的立体声插头( 图5),它有3 根导线R、L、地线,分别把绝缘皮剥去,然后用3 个鱼夹与这3 根导线连接即完成制作。
之后下载PC。
R3小提示电压表和电流表没有在虚拟仪器工具栏中显示,而是在元器件库中的指示器件类中。
图1-76 测量电阻两端电压实例函数信号发生器和示波器Function Generator)和示波器(Oscilloscope)都是电子电路中使用很函数信号发生器3种不同波形信号的电压信号源。
双击1-77所示。
对Duty Cycle)Offset)的设置可将正弦波、三角图1-77 函数信号发生器的面板和图标连接“+”和Common 端子,输出信号为正极性信号;连接“−”和出信号为负极性信号;连接“+”和“−”端子,输出信号为双极性信号;同时连接“+和“−”端子,并把Common 端子与电路的公共地(Ground 等、极性相反的信号。
2.面板操作比或偏置电压等。
① Waveforms 选项区域:选择输出信号的波形类型,有正弦波、性信号供选择。
② Signal Options 选项区域:对Waveforms Frequency :设置所要产生信号的频率,范围为1Hz ~999THz 。
Duty Cycle :设置所要产生信号的占空比,范围为1%~99%有效。
Amplitude :设置所要产生信号的幅值,范围为1fV ~999TV 。
Offset :设置偏置电压值,范围为1fV ~999TV 。
Set Rise/Fall Time 时有效。
单击该按钮后,弹出参数输入对话框,其可选范围为1ns ~500ms 1.5.2.2 示波器示波器(Oscilloscope 并可测量信号幅值、频率及周期等参数。
在Multisim 10中配有双通道示波器(4通道示波器(Four Channel Oscilloscope )和专业的安捷伦示波器(泰克示波器(Tektronix Oscilloscope)。
下面先介绍双通道示波器和41.双通道示波器双通道示波器的面板和图标如图1-78所示。
图1-78 双通道示波器的面板和图标。
实验1 示波器、函数信号发生器的原理及使用【实验目的】1. 了解示波器、函数信号发生器的工作原理。
2. 学习调节函数信号发生器产生波形及正确设置参数的方法。
3. 学习用示波器观察测量信号波形的电压参数和时间参数。
4. 通过李萨如图形学习用示波器观察两个信号之间的关系。
【实验仪器】1. 示波器DS5042型,1台。
2. 函数信号发生器DG1022型,1台。
3. 电缆线(BNC型插头),2条。
【实验内容与步骤】1. 利用示波器观测信号的电压和频率(1)参照“实验1 示波器函数信号发生器的原理及使用(实验指导书)”相关内容,产生如图1-1所示的正余弦波形,显示在示波屏上。
图1-1 函数信号发生器生成的正、余弦信号的波形(2)用示波器对图1-1中所示的正余弦波形进行测量并填写下表表1-1 正余弦信号的电压和时间参数的测量电压参数(V)时间参数峰峰值最大值最小值频率(Hz)周期(ms)正弦信号3sin(200πt)余弦信号3cos(200πt)2. 用示波器观测函数信号发生器产生的正余弦信号的李萨如图形(1)参照“实验1 示波器函数信号发生器的原理及使用(实验指导书)”相关内容,产生如图1-2所示的正余弦波形的李萨如图形,调节并正确显示在示波屏上。
图1-2 正弦信号3sin(200πt)和余弦信号3cos(200πt)的李萨如图形(3)实验指导教师检查并签字。
指导教师签字:3. 观测相同幅值、相同频率、不同相位差条件下的两正弦信号的李萨如图形(1)在函数信号发生器CH1通道产生的正弦信号3sin(200πt)保持不变的情况下,调节函数信号发生器CH2通道产生正弦信号3sin(200πt+45º),观测并记录两正弦信号的李萨如图形于图1-3中。
(2)在函数信号发生器CH1通道产生的正弦信号3sin(200πt)保持不变的情况下,调节函数信号发生器CH2通道产生正弦信号3sin(200πt+135º),观测并记录两正弦信号的李萨如图形于图1-3中。
电子信息技术综合实训报告格式竞赛题名称:《DDS信号发生器的设计》队员名称:评阅人签名:2012年9月15日1、设计思路描述:本设计是一个以AT89C51单片机为核心基于DAC0832芯片的DDS信号发生器。
信号发生器采用数字波形合成技术,通过硬件电路和软件程序相结合,可输出正弦波和三角波,波形的转换可通过软件控制。
本设计通过按键选择波形,经过AT89C51单片机将数据输出到DAC0832,由数字信号转变为模拟信号,再通过运放器稳定地输出到示波器上。
原理框图如下:2、硬件电路图:DAC0832是采样频率为八位的D/A转换器件,芯片内有两级输入寄存器,使DAC0832具备双缓冲、单缓冲和直通三种输入方式,以便适于各种电路的需要(如要求多路D/A异步输入、同步转换等)。
D/A转换结果采用电流形式输出。
要是需要相应的模拟信号,可通过一个高输入阻抗的线性运算放大器实现这个供功能。
运放的反馈电阻可通过RFB端引用片内固有电阻,还可以外接。
该片逻辑输入满足TTL电压电平范围,可直接与TTL电路或微机电路相接。
下面是DAC0832引脚图和内部结构电路图DAC0832引脚功能说明:DI0~DI7:数据输入线,TLL电平。
ILE:数据锁存允许控制信号输入线,高电平有效。
CS:片选信号输入线,低电平有效。
WR1:为输入寄存器的写选通信号。
XFER:数据传送控制信号输入线,低电平有效。
WR2:为DAC寄存器写选通输入线。
Iout1:电流输出线。
当输入全为1时Iout1最大。
Iout2: 电流输出线。
其值与Iout1之和为一常数。
Rfb:反馈信号输入线,芯片内部有反馈电阻。
Vcc:电源输入线 (+5v~+15v)Vref:基准电压输入线 (-10v~+10v)AGND:模拟地,摸拟信号和基准电源的参考地。
DGND:数字地,两种地线在基准电源处共地比较好。
主控电路及数模转换电路分别如下:主控电路数模转换电路3软件流程图:4测试方法描述:运用proteus软件设计好电路图,将程序代码编译好以后载入proteus中的AT89C51芯片进行仿真。
单片机实例分享,自制数字示波器示波器是最常用的电子测量仪器之一,它能把肉眼看不见的电信号变换成看得见的图像。
为了携带方便,我曾经做过一台简易数字示波器(见图22.2),材料成本只有150元左右,这台数字示波器的设计思想是:简单实用,价格低廉,容易制作。
主要性能指标:最高采样率:20MSa/s模拟带宽:4MHz输入阻抗:1MΩ垂直灵敏度:0.01V/div~5V/div(按1-2-5方式递进,共9挡) 水平扫描速度:1.5µs/div~6ms/div(按1-2-5方式递进,共12挡)垂直分辨率:8位显示屏:2.4 英寸TFT320×240(驱动控制芯片:ILI9325)测量时能同时显示信号的频率、电压峰峰值,具有信号保持(HOLD)功能。
图22.2 自制的简易数字示波器电路工作原理图22.3 数字示波器结构框图我们知道,模拟示波器是用阴极射线示波管(CRT)显示被测信号波形的,而数字示波器是采用LCM(LCD显示模块,含LCD及显示驱动控制芯片)显示被测信号波形。
因为LCM的每一个显示像素都对应一个地址,地址要用数据表示,每一个像素的颜色也是用数据表示的。
因此电路向LCM发送的是数据编码信号,这就决定了它和模拟示波器的电路结构不一样。
本文介绍的数字示波器的结构框图如图22.3所示。
它由垂直输入电路、A/D转换电路、数字信号处理与控制电路、液晶屏显示电路、电源电路等部分组成。
图22.4 数字示波器电路原理图输入的电压信号经垂直输入电路放大,以提高示波器的灵敏度和动态范围。
对输出的信号取样后由A/D 转换器实现数字化,模拟信号变成了数字形式存入存储器,微处理器对存储器中的数据根据需要进行处理,最终在显示屏上显示测量波形和相关的参数,这就是数字存储示波器的工作过程。
数字示波器的电路原理图如图22.4所示,下面分别对各单元电路进行介绍。
表22.1 垂直灵敏度和K1~K5的对应关系1. 垂直输入电路垂直输入电路由双运算放大器LM6172和衰减电路等部分组成。
电子信息技术综合实训报告格式竞赛题名称:《DDS信号发生器的设计》队员名称:评阅人签名:2012年9月15日1、设计思路描述:本设计是一个以AT89C51单片机为核心基于DAC0832芯片的DDS信号发生器。
信号发生器采用数字波形合成技术,通过硬件电路和软件程序相结合,可输出正弦波和三角波,波形的转换可通过软件控制。
本设计通过按键选择波形,经过AT89C51单片机将数据输出到DAC0832,由数字信号转变为模拟信号,再通过运放器稳定地输出到示波器上。
原理框图如下:2、硬件电路图:DAC0832是采样频率为八位的D/A转换器件,芯片内有两级输入寄存器,使DAC0832具备双缓冲、单缓冲和直通三种输入方式,以便适于各种电路的需要(如要求多路D/A异步输入、同步转换等)。
D/A转换结果采用电流形式输出。
要是需要相应的模拟信号,可通过一个高输入阻抗的线性运算放大器实现这个供功能。
运放的反馈电阻可通过RFB端引用片内固有电阻,还可以外接。
该片逻辑输入满足TTL电压电平范围,可直接与TTL电路或微机电路相接。
下面是DAC0832引脚图和内部结构电路图DAC0832引脚功能说明:DI0~DI7:数据输入线,TLL电平。
ILE:数据锁存允许控制信号输入线,高电平有效。
CS:片选信号输入线,低电平有效。
WR1:为输入寄存器的写选通信号。
XFER:数据传送控制信号输入线,低电平有效。
WR2:为DAC寄存器写选通输入线。
Iout1:电流输出线。
当输入全为1时Iout1最大。
Iout2: 电流输出线。
其值与Iout1之和为一常数。
Rfb:反馈信号输入线,芯片内部有反馈电阻。
Vcc:电源输入线(+5v~+15v)Vref:基准电压输入线(-10v~+10v)AGND:模拟地,摸拟信号和基准电源的参考地。
DGND:数字地,两种地线在基准电源处共地比较好。
主控电路及数模转换电路分别如下:主控电路数模转换电路3软件流程图:4测试方法描述:运用proteus软件设计好电路图,将程序代码编译好以后载入proteus中的AT89C51芯片进行仿真。
信号发生器和示波器的原理和使用示波器是一种常用的电子测量仪器,可以把肉眼无法看见的电信号转换为图像便于人们的观察。
示波器在使用的过程中用户对于示波器的工作原理和组成是必须要掌握的,这对于用户的使用是很重要的。
今天小编就来具体为大家介绍一下示波器的工作原理和组成吧,希望可以帮助到大家。
函数信号发生器的原理和使用1.基本要求:设计制作一个方波-三角波-正弦波信号发生器,供电电源为±12V。
1)输出频率能在1-10KHZ范围内连续可调;2)方波输出电压Uopp=12V(误差《20%),上升、下降沿小于10us;3)三角波输出信号电压Uopp=8V(误差《20%);4)正弦波信号输出电压Uopp≥1V,无明显失真。
2.提高要求:1)正弦波、三角波和方波的输出信号的峰峰值Uopp均在1~10V范围内连续可调;2)将输出方波改为占空比可调的矩形波,占空比可调范围30%--70%3. 结构框图实验设计函数发生器实现方波、三角波和正弦波的输出,其可采用电路图有多种。
此次实验采用迟滞比较器生成方波,RC积分器生成三角波,差分放大器生成正弦波。
除保证良好波形输出外,还须实现频率、幅度、占空比的调节,即须在基本电路基础上进行改良。
由比较器与积分器组成的方波三角波发生器,比较器输出的方波信号经积分器生成三角波,再经由差分放大器生成正弦波信号。
其中方波三角波生成电路为基本电路,添加电位器调节使其频率幅度改变;正弦波生成电路采用差分放大器,由于差分放大电路具有工作点稳定、输入阻抗高、抗干扰能力较强等优点,特别是作为直流放大器时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波。
4.系统的组成框图5.方波—三角波产生电路如图所示为方波—三角波产生电路,由于采用了运算放大器组成的积分电路,可得到比较理想的方波和三角波。
该电路振荡频率和幅度便于调节,输出方波幅度的大小由稳压管示波器工作原理示波器是利用电子示波管的特性,将人眼无法直接观测的交变电信号转换成图像,显示在荧光屏上以便测量的电子测量仪器。
实验1⽰波器函数信号发⽣器的原理及使⽤(实验指导书)实验1 ⽰波器、函数信号发⽣器的原理及使⽤⽰波器是⽤于显⽰信号波形的仪器,除了可以直接观测电压随时间变化的波形外,还可测量频率和相位差等参数,也可定性观察信号的动态过程。
它能够测量电学量,也可通过不同的传感器将各种⾮电量,如速度、压⼒、应⼒、振动、浓度等物理量,变换成电学量来间接地进⾏观察和测量。
函数信号发⽣器能够⽤来产⽣正弦波、三⾓波、⽅波等各种电信号,并且能够设置和调整信号的频率、周期、幅值等重要参数。
【实验⽬的】1. 了解⽰波器、函数信号发⽣器的⼯作原理。
2. 学习调节函数信号发⽣器产⽣波形及正确设置参数的⽅法。
3. 学习⽤⽰波器观察测量信号波形的电压参数和时间参数。
4. 通过李萨如图形学习⽤⽰波器观察两个信号之间的关系。
【实验仪器】1. ⽰波器DS5042型,1台。
2. 函数信号发⽣器DG1022型,1台。
3. 电缆线(BNC型插头),2条。
【实验原理】1. 函数信号发⽣器产⽣的波形参数(1)正弦电压波形参数正弦波的数学描述为u(t)=U0+U m sin(2πft+?),其中:U0:正弦电压的直流分量,单位V。
U m:正弦电压的幅值,⼜称正弦波交流分量的最⼤峰值,相应的-U m为交流分量的最⼩峰值,⽤V pp=2 U m来表⽰正弦电压信号的峰峰值,U m/2为交流分量的有效值或均⽅根值,单位V。
f:为正弦电压的频率,单位Hz,相应的记ω=2πf为正弦信号的⾓频率,单位rad/s,正弦电压信号的周期T=1/f。
:正弦电压信号的相位⾓。
(2)余弦电压波形参数利⽤正弦函数和余弦函数之间的关系可知,当相位⾓?=90o时,sin(2πft+90o)=cos(2πft)。
(3)操作函数信号发⽣器产⽣正余弦信号从“确定信号所在通道的CH1/CH2按键”⼊⼿确定正/余弦波形应在函数信号发⽣器的哪⼀个通道设置并输出,通过“产⽣正弦波(Sine)的按键”进⼊正余弦信号设置的菜单,可对正余弦信号的相应参数进⾏设置,在设置的菜单内,还可以在菜单内按下相应的“同相位”的功能键,建⽴函数信号发⽣器CH1、CH2两通道产⽣的正弦波形之间的相位同步关系。
信号发生器电路的设计制作
一、信号发生器简介
信号发生器是一种重要的仪器,它能够发出各种形式的各种频率的信号,用来测试和诊断各种电子系统或设备的性能。
它是一种电子设备,由
信号源、振荡器、放大器、滤波器和调节装置等部件组成。
根据调节方式
可以分为手动调节和自动调节信号发生器,根据输出信号的形式可以分为
正弦波发生器、方波发生器、三角波发生器、矩形波发生器和复合波发生
器等。
二、电路设计
1.方波发生器电路
方波发生器电路采用的是一种常见的方波发生器电路,它的基本结构
是一个多端子调节运算放大器,其中还包括一个延迟网络,可以调整方波
的形状和占空比。
它包括一个双反馈状态放大器IC1,通过低通滤波器C2、R1和R2,用来调节方波的低频速率、占空比和峰值。
该电路的方波形式
分为高平和低平,方波频率主要取决于C1和R3的值。
2.正弦波发生器电路
正弦波发生器电路主要由振荡器、低通滤波器、无源元件、放大器等
元件组成。
在低通滤波器中,C1、C2、R1和R2用于调节输出信号的频率,其中R2的电容和电阻之间的比值决定了信号的波形。
在放大器阶段,R3
与C2共同作用,可以调节输出信号的幅度。
本人做的一DDS信号发生器(有图和完整代码)本人做的一DDS信号发生器(有图和完整代码)这个DDS信号发生器是本人在学了一段时间的VHDL语言,在理解了DDS信号发生器原理的基础上做出来的一个小程序。
频率控制字是用的32位的,相位控制字是10位的,这些都是从外部输入,严格地说这并不是一个完整的DDS信号发生器,这只能说是一个核心的部份,各种参数和性能几乎全都由外围电路决定(如晶振和DA器件的性能)。
不过通过这个也能说明本人对VHDL语言和QuartusII的使用有了一定程度上的了解了。
-这是顶层文件:library ieee;use ieee.std_logic_1164.all;entity dds isport(Frequency_contol: in std_logic_vector(31 downto 0);Xia_Contorl : in std_logic_vector(9 downto 0);clk:in std_logic;sin_out:out std_logic_vector(7 downto 0));end dds;architecture behav of dds is --32位的加法器用来产生地址component adder32bport(in_data_a,in_data_b:in std_logic_vector(31 downto 0);sum:out std_logic_vector(31 downto 0));end component ;component adder10b --相位加法器也叫相们市制器port(in_data_a,in_data_b:in std_logic_vector(9 downto 0);sum:out std_logic_vector(9 downto 0));end component ;component reg32b --32位的寄存器用来输入频控制字也可以用来做设频用port(load: in std_logic;in_data:in std_logic_vector(31 downto 0);out_data: out std_logic_vector(31 downto 0));end component ;component out_32REG --一个32位寄存有一个口用来反馈一个用来输出port(data_in : in std_logic_vector(31 downto 0);load: in std_logic;data_feedbake: out std_logic_vector(31 downto 0);data_out: out std_logic_vector(9 downto 0));end component ;component sin_son --正弦查找表PORT(address : IN STD_LOGIC_VECTOR (9 DOWNTO 0);clock : IN STD_LOGIC ;q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0));end component;component REG10b --10位寄存器模块用来存相位控制字port(load: in std_logic;in_data:in std_logic_vector(9 downto 0);out_data: out std_logic_vector(9 downto 0));end component ;signal Frequency_Con :std_logic_vector(31 downto 0);signal Fre_feedback :std_logic_vector(31 downto 0);--这是那个反馈回去的信号signal sum_data :std_logic_vector(31 downto 0);--这是丛32位加法器里出来的和信号signal Xian_Con :std_logic_vector(9 downto 0);--用来传递相位控制字--signal data_high_10B :std_logic_vector(9 downto 0); --用来传递累加器出的高10位signal adderss_10b :std_logic_vector(9 downto 0);beginu1: reg32b port map(load=>clk,in_data=>Frequency_contol,out_data=>Frequency_Con);u2: adder32b port map(in_data_a=>Frequency_Con,in_data_b=>Fre_feedback,sum=>sum_data);--u3: out_32REG port map(load=>clk,data_in=>sum_data,data_feedbake=>Fre_feedback,data_out=>data_high_10B);u3: reg32b port map(load=>clk,in_data=>sum_data,out_data=>Fre_feedback);u4: reg10b port map(load=>clk,in_data=>Xia_Contorl,out_data=>Xian_Con);u5: adder10b port map(in_data_a=>Fre_feedback(31 downto 22),in_data_b=>Xian_Con,sum=>adderss_10b);u6: sin_son port map(clock=>clk,address=>adderss_10b,q=>sin_out);end;--////////////////////////////////////////////////////////////////////////////////////////////////////////////--/////////////////////////////////////////////////////////////////////////////////////////////////////////////--这个是10位的加法器也可以说是相位调制器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity adder10b is --32位的加法器port(in_data_a,in_data_b:in std_logic_vector(9 downto 0);sum:out std_logic_vector(9 downto 0));end adder10b;architecture behav of adder10b isbeginsum<=in_data_a+in_data_b;end behav;--////////////////////////////////////////////////////////////////////////////////////////////////////////////--/////////////////////////////////////////////////////////////////////////////////////////////////////////////--这里是个32位的加法器用来把输出和32的频率控制字累加library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity adder32b is --32位的加法器port(in_data_a,in_data_b:in std_logic_vector(31 downto 0);sum:out std_logic_vector(31 downto 0));end adder32b;architecture behav of adder32b isbeginsum<=in_data_a+in_data_b;end behav;--////////////////////////////////////////////////////////////////////////////////////////////////////////////--/////////////////////////////////////////////////////////////////////////////////////////////////////////////--32位的寄存器library ieee;use ieee.std_logic_1164.all;entity out_32REG is --这是那个用来寄存反馈和输入相位调制的模块port(data_in : in std_logic_vector(31 downto 0);load: in std_logic;data_feedbake: out std_logic_vector(31 downto 0);data_out: out std_logic_vector(9 downto 0));end out_32REG;architecture behav of out_32REG isbeginprocess(load)beginif load'event and load='1' then data_feedbake<=data_in ; data_out<=data_in(31 downto 22); end if;end process;end behav;--////////////////////////////////////////////////////////////////////////////////////////////////////////////--/////////////////////////////////////////////////////////////////////////////////////////////////////////////--10位寄存器模块用来存相位控制字library ieee;use ieee.std_logic_1164.all;entity REG10b is --10位寄存器模块用来存相位控制字port(load: in std_logic;in_data:in std_logic_vector(9 downto 0);out_data: out std_logic_vector(9 downto 0));end reg10b;architecture behav of reg10b isbeginprocess(load)beginif load'event and load='1' then out_data <= in_data;end if;end process;end behav;--////////////////////////////////////////////////////////////////////////////////////////////////////////////--/////////////////////////////////////////////////////////////////////////////////////////////////////////////--最后再就是一个利用MegaWixard plug-in Manager定制的一个1024位的正弦ROM这里就不贴出来了。
实验七示波器和信号发生器的使用一、实验目的1.了解示波器的工作原理。
2.掌握示波器和信号发生器的使用方法。
二、实验仪器双踪示波器信号发生器若干电阻、电容三、预习要求1.了解示波器的原理,预习示波器的使用方法。
2.预习信号发生器的使用方法。
四、实验原理1.示波器。
示波器是一种综合的电信号特性测量仪器,它可以直接显示出电信号的波形,测量出信号的幅度、频率、脉宽、相位、同频率信号的相位差等参数。
2.信号发生器是用来产生不同形状、不同频率波形的仪器,实验中常用作信号源。
信号的波形、周期(或频率)和幅值可以通过开关和旋钮加以调节。
五、实验内容1.寻找扫描光迹。
接通示波器电源(220V),预热1-2分钟。
如果仍找不到光点,可调节亮度旋钮,适当调节垂直和水平位移旋钮,将光点移至屏幕的中心位置。
调节扫描灵敏度旋钮可使扫描光迹成为一条扫描线。
调节辉度(亮度)、聚焦、标尺亮度旋钮,使扫描线成为一条亮度适中、清晰纤细的直线。
2.熟悉双踪示波器面板主要旋钮(或开关)作用。
为了显示稳定的波形,需要注意几个主要旋钮或开关的位置。
①“触发源方式”开关(SOURCE MODE):通常为内触发。
②“内触发源方式”开关(INT TRIG):通常置于所用通道位置。
当用于双路显示时,为比较两个波形的相对位置,可将其置于交替(VERT MODE)位置。
③(扫描)触发方式:通常置于自动位置。
④显示方式:根据需要可置于CH1、CH2、ALT(交替显示两路高频信号)、 CHOP(断续显示两路低频信号)、 ADD(显示两路信号之和)。
⑤扫描灵敏度开关:表示横轴方向一个大格的时间。
根据被测信号周期确定。
⑥幅度灵敏度开关:表示纵轴方向一个大格的电压。
根据被测信号幅度确定。
⑦在测量波形的周期和幅值时,应注意将扫描微调旋钮和垂直(Y轴)微调旋钮置于校准位置。
⑧当输入波形左右移动、不稳定时,可调节触发电平旋钮使波形稳定。
3.示波器内校准信号的自检(1)调出校准信号:将示波器内的方波校准信号,通过专用电缆线接入通道1(或通道2),调节示波器各有关旋钮和开关,在屏幕上可以显示出方波。
自制逻辑笔、信号发生器本文介绍的逻辑笔、信号发生器合二为一,体积小、电路简单、所需器件少,非常适合数字电路的测试。
(电路图见图一)一、电路原理由图可知,整机只用一片89C2051单片机。
它集逻辑笔、信号发生器于一身。
利用软件有效地完成逻辑测试、频率合成的任务。
LOG是逻辑信号的输入端,发光二极管:L1(H红色)、L2(L绿色)指示电平的高低。
L3是工作指示灯,MCU正常工作时,它闪烁(0.5S)。
Hz是频率输出端。
共(10Hz、100Hz、1kHz、10kHz、20KHz、50kHz、100kHz、250kHz)8个档位。
由4位DIP拨码开关采用(8421码)控制。
最高位未用,可改动程序,成为16个档位。
APP端输出随程序运行而变化的频率(45~50Hz)。
Vcc、GND是电源端,电压范围:3.6V~5V。
由于电路简单,不必制PCB板,用万能板即可!二、软件编制1、(程序流程见图二)为了提高10KHz~250KHz频率的精度,它们由定时器:T1采用8位重装方式控制。
而其它频率则由定时器:T0采用16位方式控制。
(程序附后)如果不想输出上述的频率,可改动频率的初值配置表。
(程序入口标号:Hz_int_dat a)。
计算公式:T(初值)=65536-5*10^5/F(Hz) (10Hz~1kHz)T(初值)=256-5*10^5/F(Hz) (5KHz~250kHz)例:要输出5KHz代替10KHz。
按公式计算:T=256-5*10^5/5000=256-100=156=9ch用9ch代替原10KHz的值:0ceh当频率高时,由于相应中断需要时间,故频率会降低,可适当减少初值,进行补偿。
如果需要的频率精度高,可将晶体改为:24MHz。
2、逻辑测试读入LOG的电平,判别为“1”,则点亮L1;否则点亮L2。
主流程图二 T0定时中断 T1定时中断附:1。
log.obj 文件。
(烧片即可工作)2.log.asm 源程序。
示波器和信号发生器的经典制作实例教程,含源代码、电路图、PCB
我是一位从学生时代就迷恋电子制作的专业爱好者,作为电子“发烧友”,示波器和信号发生器是两款不可缺少的工具,市面上的这两款工具价格贵且不利于携带,针对这些缺点,于是收藏了大量的相关制作资料,如今已经制作成功。
今天精心挑选了几个成功的设计项目分享给大家,希望对准备制作或已经在制作示波器和信号发生器的“发烧友们”提供帮助。
【原创完整版】采用STM32单片机制作的数字示波器(含程序、原理图、PCB)
电子工程师应该人手一个示波器,但是一般的企业都不具备,这时我们就可以自己制作一台。
这一篇就是使用了FPGA和stm32单片机,FPGA负责处理数据,单片机负责通信,一般示波器的功能也都具备了。
如果不会FPGA也没关系,附件提供了代码。
只是如果要测量高速信号,恐怕还得用专业的。
/circuit/616#/details
【原创完整版】采用STM32单片机制作的信号发生器DDS(含程序、原理图、PCB)
看题目也知道是同一个人的,这个是DDS信号发生器,可以输出我们常用的几种信号,当然也可以通过串口输入任意波形的数据,但是如果把通过串口改成wifi或者蓝牙,这个设计就很上档次了。
输出的最大频率可以达到20Mhz,完全够我们平时使用的。
/circuit/780#/details
Xprotolab Plain-市场上最便宜的但功能丰富的示波器
这款示波器是由带USB接口的简单拨码模块组成。
信号的可视化和控制示波器,完成对PC 接口软件(开源)。
类似于原始Xprotolab,但不具有显示器和按钮,所以它仅适用于USB 接口。
该板尺寸仅为1×2英寸,并且可以直接在面包板上安装。
该Xprotolab也可以用来作为一个开发板的AVR XMEGA微控制器。
/circuit/556#/details
便携式测试工具-示波器手表设计
这款示波器手表具备现代手表的所有功能(时间、日历、闹钟、等),并结合了Xprotolab (示波器、波形发生器、逻辑分析仪、协议嗅探器、频率计数器)的所有功能。
这个示波器手表也许很难取代实验室中的,但对正在进行Arduino项目的我做电子分析是足够了。
/circuit/486#/details
基于51单片机STC89C52RC的AD9850DDS信号源设计与实现
对于一般DIY爱好者而言,有一个合适的信号发生器莫过于最好不过了,但是市售的信号发生器价格昂贵,那么就让我们发扬DIY的风格吧。
这款信号源由51单片机和AD9850模块做成的信号发生器,信号频率1Hz~1MHz之间,三种常规波形,可以调节峰峰值,直流偏置,最低1Hz步进频率调节。
非常适合初学者DIY。
/circuit/545#/details
基于STM32F103的数字信号发生器、示波器二合一
这个信号发生器还带了一个4.3寸的FSMC显示屏,可以进行波形调整,比上面几个信号发生器都有优势。
作为波形发生器,可以输出我们常用的几种信号,如三角、正弦、方波,不过输出波形的幅值最大只有3.3v,没有加放大器。
作为示波器,可以利用屏幕显示波形的频率,电压值等等。
一套硬件实现了两种工具,还是非常有吸引力的,而且作者全部免费公开的。
/circuit/619#/details
基于STM32双通道袖珍示波器制作
前面的几个示波器必须使用适配器才能工作,这个示波器使用的是锂电池,可以很方便的携带。
尽管是2个通道,但是电路非常简单,全部采用单电源3.3V供电,最高测量频率也只有1Mhz,使用的是背光12864的黑白显示屏显示波形,相比于其他几款就显得有点“矮挫丑”了。
感兴趣用于DIY可以,如果用于真实的测量,还是建议上面几款。
/diagram/3882_3883/199986.html。