eda电子密码锁改3(1)
- 格式:doc
- 大小:283.50 KB
- 文档页数:20
门禁密码锁怎么改密码一般门禁密码锁里面都有使用说明书,万一哪天我们心血来潮想修改密码但是找不到使用说明书了,在这种情况下我们应该怎么修改密码呢?这时大家也不用紧张心急,下面小编就为大家介绍门禁密码锁怎么改密码,大家从此就可以轻松改密码了。
密码门禁机设置修改方法一1、初始密码:编程密码为9999,使用密码为1234。
2、进入编程状态:功能设置(需在编程状态下)门状态监视需门磁开关,开启此功能时有如下两种功能:一是如果输入正确密码开门后忘了关门则蜂鸣器会鸣叫提示使用者关门;二是如果门被非法打开,则会启动报警信号输出,同时蜂鸣器鸣叫警示。
出厂设置此功能为关闭。
3、使用方法:正常工作状态读一张有效卡即可开门;如果需读卡加密码的,则在读完卡后10 秒钟内输入正确的密码即可开门;如果某用户忘了卡的密码,则需将此卡删除后重新增加再自行修改使用密码;如果忘记编程密码了,可使用主板上的跳线开关“reset”来恢复出厂设置。
先关闭电源将跳线开关拨到“on”位置再接通电源,蜂鸣器响三声后将跳线开关拨回“off”位置即可恢复出厂设置。
安装接线时请先关闭电源,切勿带电操作!正常情况下电锁是从电源控制器接出。
密码门禁机设置修改方法二1、进入编程方式:按[*]+[6位编程密码],嘟嘟两声响,(红灯闪烁,绿灯长亮。
出厂的编程密码是990101,用户在启用门禁设备后,务必修改此编程密码。
如果在20秒之内没有任何编程指令,系统自动退出编程状态。
)2、修改编程密码:按[0]+[6位新编程密码]+[重复6位新编程密码],嘟嘟两声响;表示设置成功。
3、设置开门方式:卡或通用密码:按[1]+[0],嘟嘟两声响;卡加个人密码:按[1]+[1],嘟嘟两声响;4、设置开门时间:按[2]+[TT],嘟嘟两声响;TT表示开门时间,以秒为单位,如开锁时间为3秒,则TT=03;5、设置/修改通用开门密码:按[3]+[4位新通用开门密码],嘟嘟两声响,原4位通用密码被覆盖;按[3]+[0000],嘟嘟两声响,清除“通用密码”;6、强制恢复出厂编程密码:拆下控制器,将J2的两脚短接一下后松开(通电状态),控制器嘟一声响,接着嘟嘟两声响,控制器即可恢复到出厂编程密码。
目录1前言 (1)2设计原理 (2)2.1设计要求 (2)2.2设计方案 (2)3 仿真分析 (4)3.1 Quartus II仿真软件 (4)3.2 整体波形仿真 (5)3.3 模块波形仿真 (5)3.3.1 寄存器模块波形仿真 (5)3.3.2 控制器模块波形仿真 (6)3.3.3比较器模块波形仿真 (6)3.4实验箱下载验证 (7)4 设计总结 (8)5 参考文献 (9)附录 (10)1 前言由于安全防盗已成为全社会关注的问题。
基于EDA技术设计的电子密码锁,以其价格便宜、安全可靠、使用方便,受到了人们的普遍关注。
而以现场可编程逻辑器件(FPGA)为设计载体,以硬件描述语言(VHDL)为主要表达方式,以Quartus Ⅱ开发软件和GW48EAD开发系统为设计工具设计的电子密码锁,由于其能够实现数码输入、数码清除、密码解除、密码更改、密码上锁和密码解除等功能,因此,能够满足社会对安全防盗的要求。
随着现代电子技术的迅速发展,ASIC技术的日趋进步和完善,数字系统的硬件设计正朝着速度快、体积小、容量大、重量轻的方向发展。
一个复杂的数字系统大概需要百万个以上的逻辑门或传输晶体管器件,如信号处理器,ALU等,如果人工的话需要非常长的时间,这样效率就明显会下降。
目前大部分密码锁采用单片机进行设计,电路比较复杂,性能不够灵活。
而硬件描述语言VHDL的出现,则为设计者提供了一种用形式化方法来描述数字系统硬件电路的方法。
VHDL具有强大的系统描述能力、规范的程序设计结构、灵活的语句表达风格和多层次的仿真测试手段。
根据系统的行为和功能要求,可以自上而下的完成相应的描述、综合、优化、仿真与验证,直至生成器件系统。
VHDL使得设计者可以进行更大、更复杂的数字系统的设计。
本文采用先进的EDA技术,利用QuartusⅡ工作平台和VHDL语言,设计了一种新型的密码锁。
该密码锁具有密码预置和误码报警等功能,保密性好,远远大于以往的密码锁,使随机破译成功率几乎为零,编码可变,避免因人员的更替而使锁的保密级别下降且有利于用户编制易于记忆的密码,因而该数字密码锁具有体积小、功耗低、价格便宜、安全可靠,维护和升级都十分方便等优势。
基于EDA技术的电子密码锁设计基于EDA 技术设计的电子密码锁,以其价格便宜、安全可靠、使用方便,受到了人们的普遍关注。
而以现场可编程逻辑器件(FPGA)为设计载体,以硬件描述语言(VHDE)为主要表达方式,以QuartusⅡ开发软件和GW48EDA 开发系统为设计工具设计的电子密码锁,由于其能够实现数码输入、数码清除、密码解除、密码更改、密码上锁和密码解除等功能,因此,能够满足社会对安全防盗的要求。
1 电子密码锁的基本功能电子密码锁主要实现的功能包括:(1)数码输入:按下一个数字键,其对应的数字就显示在最右边的数码管上,同时将先前输入的所有数字向左移动一位。
设计密码为4 位,系统只能显示前4 位输人的数码。
(2)数码清除:当按下清除键时,清除前面输入的所有值,并显示为--- -。
(3)密码解除:按下55#键,可以将电子密码锁的旧密码解除。
(4)密码更改:将旧密码解除之后,可以进行密码更改。
输入任意四位密码数字,再按#号就可以将输入的数码当作新的密码。
(5)密码上锁:输入新的密码之后,按下11# 键,可以进行密码上锁操作。
(6)密码解锁:按下99#键,再输入数码;如果输入与系统储存密码一致,密码锁就能开启;否则不能解锁。
2 电子密码锁的结构原理2.1 电子密码锁的整体结构电子密码锁的整体结构如图1 所示,它包括密码锁输入模块、控制模块和显示模块等。
2.2 密码锁输入模块密码锁输入模块的电路框图如图2 所示,它由时序产生电路、键盘扫描电路、弹跳消除电路、键盘译码电路和按键存储电路组成。
时序产生电路用于产生电路中三种不同频率的工作脉冲波形,包括系统时钟信号、弹跳消除取样信号和键盘扫描信号。
键盘电路可提供键盘扫描信号。
该信号由ky3~ky0 进入键盘,其变化的顺序为1110-1101-1011-0111-1110 周而复始。
扫描信号0111 代表扫描的为*、0、#这一排按键,当*这个按键被按下时,由kx2~kx0 读出的值为011。
1 概述和设计要求1.1概述随着科技的发展,电子产品的应用越来越广泛,电子电路的设计也越来越重要。
随着人们生活水平的提高,如何实现家庭防盗这一问题也变得尤其的突出,传统的机械锁由于结构简单,被撬的事件屡见不鲜,电子锁由于其保密性高,使用灵活性好,安全系数高,受到广大用户的亲昵。
同时随着电子产品向智能化和微型化的不断发展,数字逻辑电路电子芯片已成为电子产品研制和开发首选的控制器。
用电子逻辑电路设计的密码锁经实际操作表明,该密码锁具有安全、实用、成本低等优点,符合一般用锁的需要,具有一定的现实意义。
在本次课程设计中,我的的题目是电子密码锁,由输入密码、设定密码、寄存电路、比较电路、显示电路、修改密码组成。
主要工作部分是将输入密码与正确密码进行比较,密码正确时红色发光二极管亮,表示开锁成功,密码错误则蜂鸣器响三秒,表示开锁失败。
同时经过显示译码器作用到数码显示器显示密码。
随着电子产品向智能化和微型化的不断发展,数字逻辑电路电子芯片已成为电子产品研制和开发首选的控制器。
1.2设计要求利用基于所学EDA以及QuartusII7.2软件相关知识设计一个具有较高安全性和较低成本的通用电子密码锁,其具体功能要求如下:(1) 数码输入:每按下一个数字键,就输入一个数值,并在显示器上的最右方显示出该数值,同时将先前输入的数据依序左移一个数字位置。
(2) 数码清除:按下此键可清除前面所有的输入值,清除成为“0000”。
(3) 密码更改:按下此键时会将目前的数字设定成新的密码。
(4) 激活电锁:按下此键可将密码锁上锁。
(5) 解除电锁:按下此键会检查输入的密码是否正确,密码正确即开锁。
2功能模块整体结构设计作为通用电子密码锁,主要由三个部分组成:数字密码输入电路、密码锁控制电路和密码锁显示电路。
根据以上选定的输入设备和显示器件,并考虑到实现各项数字密码锁功能的具体要求,整个电子密码锁系统的总体组成框图如图2.1所示。
(1) 密码锁输入电路包括时序产生电路、键盘扫描电路、键盘弹跳消除电路、键盘译码电路等几个小的功能电路。
智能门锁怎么改密码和添加指纹
智能门锁的具体操作方式和步骤可能会因不同品牌和型号的智能门锁而略有差异。
一般而言,以下是一般的操作步骤来改变密码和添加指纹到智能门锁的示例:
改变密码:
1. 找到智能门锁上的设置按钮或屏幕。
2. 按下设置按钮或触摸屏幕上的设置选项。
3. 输入当前密码(如果需要验证)。
4. 寻找“密码管理”、“用户管理”或类似的选项,选择进入。
5. 选择“修改密码”或类似选项。
6. 输入新的密码,并根据设备要求进行确认。
7. 确认密码修改后,保存设置和退出设置模式。
添加指纹:
1. 找到智能门锁上的设置按钮或屏幕。
2. 按下设置按钮或触摸屏幕上的设置选项。
3. 输入当前密码(如果需要验证)。
4. 寻找“指纹管理”、“用户管理”或类似的选项,选择进入。
5. 选择“添加指纹”或类似选项。
6. 按照设备的指示,将指定手指按在智能门锁感应器上,直到识别完成。
7. 如果需要,重复上述步骤来添加更多的指纹。
8. 确认指纹添加后,保存设置和退出设置模式。
请注意,以上步骤仅作为示例,实际的操作步骤可能因智能门锁的品牌和型号而有所不同。
如果您对具体的智能门锁型号有疑问或不清楚如何进行设置,请参考相应的用户手册或联系智能门锁的厂家或技术支持团队以获得更详细和准确的指导。
EDA课程设计报告电子密码锁设计学校:海南大学院(系):信息学院专业:通信一班学生姓名:学号:组员:指导教师:——2013年6月13日目录目录 (1)一.概述 (2)二.设计要求 (2)三.总体框图 (2)四.功能模块 (3)4.1 输入模块 (3)4.2 控制模块 (6)4.5显示模块 (11)五.总体设计电路图 (13)六.设计心得体会 (14)一.概述电子密码锁在生活中十分常见,在这我将设计一个具有较低成本的电子密码锁,本文讲述了我整个设计过程及收获。
讲述了电子密码锁的的工作原理以及各个模块的功能,并讲述了所有部分的设计思路,对各部分电路方案的选择、元器件的筛选、以及对它们的调试、对波形图的分析,到最后的总体图的分析。
二.设计要求本设计名称为电子密码锁,用四个模块,分别为输入模块、控制模块、扫描器模块、显示模块,来控制密码的输入、验证与显示。
设计所要实现的功能为:1 数码输入:手动输入3组四位二进制密码。
2 数码验证:开锁时输入密码后,拨动RT键使其为高电平,而CHANGE为低电平检测,密码正确时开锁,输出LOCK灯亮,表示开锁成功。
3 错误显示:当密码输入错误时,LOCK灯亮,LOCK灭,表示开锁失败。
4 更改密码:当改变密码时,按下CHANGE键使其为高电平,而RT为低电平时,可改变密码。
5 密码清除:按下RST可清除前面的输入值,清除为“000”。
三.总体框图1)设计方案:电子密码锁,主要由三部分组成:密码输入电路、密码锁控制电路和密码锁显示电路。
作为电子密码锁的输入电路,可选用的方案有拨码与按键来控制输入和触摸式键盘输入等多种。
拨码与按键和触摸式4*4键盘相比简单方便而且成本低,构成的电路简单,本设计中采用拨码与按键来作为该设计的输入设备。
数字电子密码锁的显示信息电路可采用LED数码显示管和液晶屏显示两种。
液晶显示具有高速显示、可靠性高、易于扩展和升级的特点,但是普通的液晶存在亮度低、对复杂环境适应能力差的特点,但是在本设计中任然使用LED数码管。
密码门锁怎么改密码
一般来说,电子密码门锁的密码修改有三种方式。
一是初始化,从里面按一个键几秒钟,重新输入密码;第二,如果是总控的锁,可以从总机的电脑修改;三是用与锁匹配的机械钥匙设置初始化密码。
现在,我们来详细介绍一下第一种密码修改方法。
1.按下外面板底部的电源键,按下' * '键三次,蜂鸣器会发出很长的声音。
2.输入旧密码,然后按“#”键确认。
蜂鸣器会发出很长的声音,LED灯会闪烁。
3.输入一个6 ~ 12位的新密码,按“#”键确认。
蜂鸣器会发出很长的声音。
4.再次输入相同的新密码,并按“#”键确认。
注意:在设置过程中,如果输入错误,系统将退出设置程序。
如果输入正确,蜂鸣器会发出长音(或音乐)表示成功,LED灯熄灭。
EDA课程设计——电子密码锁一、设计目的:在学习完大部分内容后,设计一个功能和市面上一模一样的“4位数电子密码锁”,可让学生学习查找相关资料,并对小型项目开发有一定的认识。
使学生能进行模块化设计,对每部分电路进行讨论、说明与仿真验证,最后在整合起来。
二、所需器材:本设计所需的硬件主要有:矩阵键盘、七段译码器,七段管脚数码管。
三、设计内容和要求:1、数码输入:每按下一个数字键,就输入一个数值,并在显示器上的最右方显示,将先前的输入数据依序左移一个数字位置。
2、数码清除:按下此键可清除之前所有的输入值,清除成为“0000”。
3、密码更改:按下此键时会将目前的数字设定成新的密码。
4、激活电锁:按下此键可将密码锁上锁。
5、解除电锁:按下此键会检查输入的密码是否正确,密码正确即开锁。
四、设计程序和调试情况:实验程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity key_led isport (x :in std_logic_vector(3 downto 0);y :out std_logic_vector(3 downto 0);clk :in std_logic;clr :in std_logic;ch_code :in std_logic;dx :out std_logic_vector(6 downto 0);wx :out std_logic_vector( 3 downto 0);open_key :out std_logic);end key_led;architecture one of key_led issignal count :std_logic_vector(10 downto 0);signal clk_key :std_logic;signal clk_key_1 :std_logic;signal clk_led :std_logic;signal key_in_0 :std_logic_vector(7 downto 0);signal key_in :std_logic_vector(4 downto 0);signal y_0 :integer range 0 to 3;signal y_temp :std_logic_vector(3 downto 0);signal SG :std_logic_vector(6 downto 0);signal j :std_logic_vector(2 downto 0);signal i :std_logic_vector(2 downto 0);signal i_test :std_logic_vector(2 downto 0);subtype one is std_logic_vector(6 downto 0);type two is array (3 downto 0) of one;signal Q :two;signal code :two;signal key_count :std_logic_vector(2 downto 0);beginfrequency division:process(clk)beginif(clk'event and clk='1') thenif(count="11111111111") thencount<=(others=>'0');else count<=count+1;end if;end if;end process;clk_key<=count(1);clk_led<=count(0);--再产生clk_key_1分频。
目录前言 (1)1 设计内容与目的 (1)1.1 设计内容 (1)1.2 设计目的 (1)2 方案设计 (2)2.1 设计总体框图 (2)2.2 电路原理图 (2)2.3 键盘接口电路 (2)2.4 电锁控制电路 (2)2.5 输出显示电路 (3)3 功能电路的设计 (3)3.1 键盘接口电路 (3)3.2 时序产生电路 (4)3.3 键盘扫描电路 (5)3.4 键盘消抖电路 (8)3.5 键盘译码电路 (9)3.6 按键存储电路 (11)3.7 电锁控制电路设计 (15)4 系统仿真 (16)5 程序清单 (16)6 参考文献 (25)电子密码锁前言电子密码锁的使用体现了人们消费水平、保安意识和科技水平的提高,而且避免了携带甚至丢失钥匙的麻烦。
目前设计密码锁的方法很多,例如用传统的PCB 板设计、用PLC设计或者用单片机设计等等。
而用VHDL可以更加快速、灵活地设计出符合各种要求的密码锁,优于其他设计方法。
VHDL是一种符合IEEE标准的硬件描述语言,其最大的特点是借鉴高级程序设计语言的功能特性,对电路的行为与结构进行高度抽象化、规范化的形式描述,并对设计的不同层次、不同领域的模拟验证与综合优化等处理,使设计过程廷到高度自动化。
1 设计内容与目的:1.1 设计内容:设计一个简单的数字电子密码锁,密码为 4 位。
要求具备如下功能:(1)如果输入数字键,第一个数字会从显示器的最右端开始显示,此后每按下一个数字键,显示器上的数字必须往左移动一格,以便将新的数字显示出来。
(2)本密码锁为四位密码锁,当输入的数字超过4个时,不会显示第四个以后的数字。
(3)按下清零键,清除所有输入的数字,即做归零动作。
(4)按下密码确认键,检查输入的密码是否正确,密码正确即开锁。
(5)按下密码变更键,将当前输入的数字设置成新密码。
(6)设计一个万能密码,只要输入万能密码即开锁。
1.2 设计目的:随着人们生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的亲昵,电子密码锁的使用也体现了人们消费水平、保安意识和科技水平的提高,而且避免了携带甚至丢失钥匙的麻烦。
eda课程设计 电子密码锁一、课程目标知识目标:1. 学生能够理解电子密码锁的基本原理,掌握其电路组成及功能。
2. 学生能够了解数字电路的基本知识,包括逻辑门、触发器等,并运用这些知识分析电子密码锁的工作原理。
3. 学生能够掌握二进制与十进制的转换方法,并应用于电子密码锁的设计。
技能目标:1. 学生能够运用所学知识设计并搭建简单的电子密码锁电路。
2. 学生能够通过实际操作,调试并优化电子密码锁的性能。
3. 学生能够运用EDA软件(如Multisim、Protel等)进行电子密码锁电路的设计、仿真和测试。
情感态度价值观目标:1. 培养学生动手实践、解决问题的能力,增强自信心和成就感。
2. 培养学生团队协作精神,学会沟通与分享,提高合作能力。
3. 增强学生对电子科技的兴趣和热爱,激发创新意识和潜能。
课程性质分析:本课程为电子技术实践课程,注重理论联系实际,强调学生的动手能力和创新能力。
学生特点分析:学生处于高中阶段,具有一定的电子基础和逻辑思维能力,对实践操作充满兴趣。
教学要求:结合课程性质和学生特点,将课程目标分解为具体的学习成果,以项目为导向,引导学生通过实践探索,完成电子密码锁的设计与制作。
在教学过程中,注重启发式教学,鼓励学生提问、思考、解决问题,培养其创新能力和实际操作能力。
后续教学设计和评估将以此为基础,确保学生达到预定的学习成果。
二、教学内容1. 理论知识:- 数字电路基础知识:逻辑门、触发器、计数器等;- 电子密码锁原理:锁体结构、电路设计、密码设置与识别;- 二进制与十进制转换方法及其应用。
2. 实践操作:- 使用EDA软件(如Multisim、Protel)进行电子密码锁电路设计;- 搭建电子密码锁电路,进行实际操作和调试;- 分析并优化电子密码锁性能,提高其安全性。
3. 教学大纲:- 第一阶段:数字电路基础知识学习,占总课时1/3;- 第二阶段:电子密码锁原理学习,占总课时1/3;- 第三阶段:实践操作,包括EDA软件使用、电路搭建、调试与优化,占总课时1/3。
目录第1章方案设计 (1)1.1设计思路 (1)1.2系统原理及基本框图 (1)第2章单元模块设计 (1)2.1顶层模块设计 (2)2.2密码输入模块 (2)2.3延时模块 (2)2.4设置密码及验证模块 (2)第3章系统软件程序设计 (3)3.1 流程图 (3)3.2 主控程序 (3)第4章系统仿真测试 (8)总结 (9)参考文献 (10)致谢 (11)附录源程序 (12)第1章方案设计1.1设计思路当输入正确密码时轰动绿灯亮、红灯熄灭表示开锁,而当输入错误密码时,红灯亮、绿灯熄灭表示关锁。
程序下载后系统进入原始状态(原始密码000000),按下键8发光二极管8(绿灯)亮、法官二极管7(红灯)灭。
当要重新设置密码时,直接输入自己想要设置的密码并按下键8,持续5S,数码管8由0变为1,此时7段数码管1、2、3、4、5、6对应显示新设置的密码。
当要输入密码时,按下键7,先将密码清零,再随机输入一组6位密码,假如密码正确,发光二极管8(绿灯)立即亮;反之如不正确,等待5S,发光二极管7(红灯)亮并由蜂鸣器发出20S的报警信号。
1.2系统原理及基本框图本设计采用EDA技术和VHDL语言设计了一种按键输入密码并数码管回显,当输入正确密码时轰动绿灯亮、红灯熄灭表示开锁,而当输入错误密码时,红灯亮、绿灯熄灭表示关锁。
图1.1基本框图第2章单元模块设计2.1顶层模块设计该电子密码锁顶层文件使用原理图输入法,将按键、延时、判断正误、出错红灯亮并报警、正确绿灯亮这几个模块连接在一起实现6位二进制密码锁功能。
具体顶层原理图如图2.1所示:图2.1密码锁顶层文件原理图2.2 密码输入模块本按键输入模块包括设置密码并读取、密码清零、输入密码、系统复位功能。
该对应6位二进制密码输入、键7为密码清零按键、键8为系统复位和密码读取按键。
2.3 延时模块针对本设计中要求的输入错误密码后5S报错和20S报警,我们设计了5S和20S 的两个延时模块。
2.4设置密码以及验证模块要求密码可以设置,为了更清晰的了解密码,我们除了设计密码设置键外,还设置了数码管显示设置好的密码。
该模块采用VHDL语言设计而成。
第3章系统软件程序的设计3.1流程图该电子密码锁的工作流程图如图所示:图3.1密码锁流程图3.2 主程序主程序包含初始化部分、密码输入模块、显示模块、延时模块、设置密码与验证模块等组成。
主程序源代码如下:LIBRARY ieee;USE ieee.std_logic_1164.all;LIBRARY work;ENTITY lock ISport (str : IN STD_LOGIC;clk20 : IN STD_LOGIC;clk1 : IN STD_LOGIC;ds : IN STD_LOGIC;key : IN STD_LOGIC_VECTOR(5 downto 0);green : OUT STD_LOGIC;red : OUT STD_LOGIC;sound : OUT STD_LOGIC;xianshi : OUT STD_LOGIC;key_read : OUT STD_LOGIC;display : OUT STD_LOGIC_VECTOR(5 downto 0);key_tell : OUT STD_LOGIC_VECTOR(5 downto 0)); END lock;ARCHITECTURE bdf_type OF lock IScomponent ledPORT(r : IN STD_LOGIC;str : IN STD_LOGIC;str5 : IN STD_LOGIC;ar : OUT STD_LOGIC);end component;component soundPORT(str : IN STD_LOGIC;clk : IN STD_LOGIC;sound_out : OUT STD_LOGIC);end component;component mcPORT(str : IN STD_LOGIC;clk : IN STD_LOGIC;key_out : OUT STD_LOGIC);end component;component xdPORT(str : IN STD_LOGIC;a : IN STD_LOGIC_VECTOR(5 downto 0);b : IN STD_LOGIC_VECTOR(5 downto 0);key_out : OUT STD_LOGIC);end component;component mimaPORT(clk_mima : IN STD_LOGIC;key : IN STD_LOGIC_VECTOR(5 downto 0);mima_out : OUT STD_LOGIC_VECTOR(5 downto 0)); end component;component m20PORT(str : IN STD_LOGIC;clk : IN STD_LOGIC;key_out : OUT STD_LOGIC);end component;component keyPORT(key_in1 : IN STD_LOGIC;key_in2 : IN STD_LOGIC;key_in3 : IN STD_LOGIC;key_in4 : IN STD_LOGIC;key_in5 : IN STD_LOGIC;key_in6 : IN STD_LOGIC;clk : IN STD_LOGIC;str : IN STD_LOGIC;resert : IN STD_LOGIC;clk20 : IN STD_LOGIC;ds : IN STD_LOGIC;key_read : OUT STD_LOGIC;key_resert : OUT STD_LOGIC;key_r : OUT STD_LOGIC;key_out : OUT STD_LOGIC_VECTOR(5 downto 0)); end component;component ledwPORT(ee : IN STD_LOGIC;str : IN STD_LOGIC;str5 : IN STD_LOGIC;aw : OUT STD_LOGIC);end component;signal a : STD_LOGIC_VECTOR(5 downto 0);signal b : STD_LOGIC_VECTOR(5 downto 0);signal c : STD_LOGIC_VECTOR(5 downto 0); signal SYNTHESIZED_WIRE_0 : STD_LOGIC; signal SYNTHESIZED_WIRE_11 : STD_LOGIC; signal SYNTHESIZED_WIRE_2 : STD_LOGIC; signal SYNTHESIZED_WIRE_3 : STD_LOGIC; signal SYNTHESIZED_WIRE_5 : STD_LOGIC; signal SYNTHESIZED_WIRE_12 : STD_LOGIC; signal SYNTHESIZED_WIRE_8 : STD_LOGIC; signal SYNTHESIZED_WIRE_9 : STD_LOGIC; BEGINgreen <= SYNTHESIZED_WIRE_8;red <= SYNTHESIZED_WIRE_12;xianshi <= SYNTHESIZED_WIRE_11;key_read <= SYNTHESIZED_WIRE_5;b2v_inst : ledPORT MAP(r => SYNTHESIZED_WIRE_0,str => str,str5 => SYNTHESIZED_WIRE_11,ar => SYNTHESIZED_WIRE_8);b2v_inst1 : soundPORT MAP(str => SYNTHESIZED_WIRE_2,key_out => SYNTHESIZED_WIRE_11);b2v_inst3 : xdPORT MAP(str => SYNTHESIZED_WIRE_11,a => a,b => b,key_out => SYNTHESIZED_WIRE_0);b2v_inst4 : mimaPORT MAP(clk_mima => SYNTHESIZED_WIRE_5, key => a,mima_out => b);b2v_inst6 : m20b2v_inst7 : keyPORT MAP(key_in1 => c(0),key_in2 => c(1),key_in3 => c(2),key_in4 => c(3),key_in5 => c(4),key_in6 => c(5),clk => clk1,str => SYNTHESIZED_WIRE_12,resert => str,clk20 => clk20,ds => ds,key_read => SYNTHESIZED_WIRE_5,key_resert => SYNTHESIZED_WIRE_9,key_r => SYNTHESIZED_WIRE_3,key_out => a);b2v_inst8 : ledwPORT MAP(ee => SYNTHESIZED_WIRE_8,str => SYNTHESIZED_WIRE_9,str5 => SYNTHESIZED_WIRE_11,aw => SYNTHESIZED_WIRE_12);c <= key;display <= a;key_tell <= b;END;第4章系统仿真测试将程序下载Cyclone系列芯片中,同时在EDA试验箱上进行硬件验证。
本文提出的电子密码锁由于采用VHDL语言设计,用一片FPGA实现,因而体积小,功耗低,稍加修改就可以改变密码的位数和输入密码的次数,具有较好的应用前景。
但由于结构还比较简单,有待进一步完善。
1.密码锁输入模块的仿真,如图4.1所示。
图4.1密码锁输入模块仿真2.电子密码锁整个系统的仿真如图4.2:图4.2 电子密码锁整个电路系统仿真图总结通过两星期的紧张工作,最后完成了我的设计任务——基于VHDL语言的智能密码锁设计。