数字逻辑课程设计==
- 格式:doc
- 大小:582.00 KB
- 文档页数:22
数字逻辑简单课程设计一、教学目标本课程的教学目标是使学生掌握数字逻辑的基本概念和基本方法,培养学生分析和解决数字逻辑问题的能力。
具体来说,知识目标包括:掌握数字逻辑的基本概念,如逻辑门、逻辑电路、逻辑函数等;了解数字逻辑的基本运算,如与、或、非、异或等;理解数字逻辑电路的设计方法和步骤。
技能目标包括:能够运用数字逻辑的基本概念和运算方法分析和解决简单的数字逻辑问题;能够设计简单的数字逻辑电路,并进行仿真实验。
情感态度价值观目标包括:培养学生的团队合作意识和科学探究精神,使学生认识到数字逻辑在现代科技领域中的重要地位和作用。
二、教学内容本课程的教学内容主要包括数字逻辑的基本概念、基本运算和电路设计方法。
具体来说,教学大纲安排如下:第1章:数字逻辑概述,介绍数字逻辑的基本概念和特点,理解数字逻辑与模拟逻辑的区别。
第2章:逻辑门,学习逻辑门的种类和性质,掌握逻辑门的符号表示和真值表。
第3章:逻辑电路,了解逻辑电路的组成和功能,学习逻辑电路的设计方法和步骤。
第4章:逻辑函数,掌握逻辑函数的定义和性质,学习逻辑函数的化简方法。
第5章:数字逻辑电路实例,分析常见的数字逻辑电路,如加法器、译码器、触发器等。
第6章:数字逻辑电路仿真实验,通过仿真软件进行数字逻辑电路的设计和实验。
三、教学方法为了实现本课程的教学目标,我们将采用多种教学方法,如讲授法、讨论法、案例分析法和实验法等。
在教学过程中,我们将注重理论与实践相结合,通过生动的案例分析和实验操作,激发学生的学习兴趣和主动性。
同时,我们将鼓励学生积极参与课堂讨论,培养学生的团队合作意识和科学探究精神。
四、教学资源为了保证本课程的顺利进行,我们将准备丰富的教学资源,包括教材、参考书、多媒体资料和实验设备等。
教材方面,我们将采用《数字逻辑》作为主教材,同时推荐《数字逻辑电路》等参考书供学生自主学习。
多媒体资料方面,我们将收集与课程相关的视频、动画和图片等,以直观地展示逻辑电路的工作原理和设计过程。
数字逻辑与数字系统设计课程设计一、课程设计背景数字逻辑与数字系统设计课程介绍了数字电路的基本概念、设计和分析方法。
数字逻辑是电子技术中非常重要的一部分,广泛应用于计算机、通信、自动化控制、计算器、游戏机等电子产品。
通过本课程的学习,学生将掌握数字逻辑和数字系统设计的基本原理和方法。
二、课程设计内容本次数字逻辑与数字系统设计课程设计主要分为以下几个部分:1.实验一:Karnaugh图和逻辑多路选择器设计实验2.实验二:数字逻辑电路的组合设计实验3.实验三:数字电路的时序设计实验4.实验四:数字系统设计实验5.实验五:数字逻辑综合设计实验实验一:Karnaugh图和逻辑多路选择器设计实验通过本实验,学生将学会运用Karnaugh图方法设计简单的逻辑电路,掌握最小化布尔函数的方法。
同时,学生将学习多路选择器的设计方法,掌握多路选择器的应用技巧。
实验二:数字逻辑电路的组合设计实验通过本实验,学生将学习的是数字逻辑电路的组合设计方法,包括基本逻辑门和复杂逻辑电路的设计技术。
同时,学生还将掌握基本电路的仿真方法,通过仿真软件对电路进行验证。
实验三:数字电路的时序设计实验在本实验中,学生将掌握数字电路的时序设计方法,了解时序电路的作用、分类和基本原理。
同时,学生将学习数字电路时序仿真的方法,能够进行基本时序电路模拟。
实验四:数字系统设计实验在本实验中,学生将学习数字系统设计的基本方法和过程,包括总体结构设计、输入输出接口的设计、存储器的设计等;同时,学生还将了解数字系统的仿真和测试方法,对设计的数字系统进行仿真和测试。
实验五:数字逻辑综合设计实验在本实验中,学生将通过数字逻辑综合设计,掌握数字逻辑综合应用技巧,并能够在实践中学习根据需求进行电路综合的方法。
三、课程设计特点本次数字逻辑与数字系统设计课程设计不仅注重理论教学,更加强调实践教学,特点如下:1.注重实验教学,对学生的动手能力和实践能力进行提高。
2.充分利用仿真软件进行电路设计和验证,使学生在熟悉实际电路设计方法的同时,也能提高计算机仿真的技能和水平。
数字逻辑课程设计一、课程设计目的《数字逻辑课程设计》是计算机大类学生的必修课之一,是《数字逻辑》课程的一个重要的实践教学环节,它与理论教学和实验教学相结合,培养学生综合运用所学的基础理论和掌握的基本技能来解决实际问题的能力。
课程设计通过完成一个课题的理论设计和实际调试工作,即能加深对所学知识的理解,又能培养综合的实践技能,从而提高分析问题和解决问题的能力。
训练学生综合运用学过的数字逻辑的基本知识,独立设计比较复杂的数字电路的能力。
通过实践教学引导学生在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。
二、课程设计要求(一)教学要求1.巩固和加深对数字逻辑各类型电路的设计方法及电子器件所构成电路的理解,并适当拓宽学生在电子线路领域的知识面。
2.初步掌握数字电路的设计、计算方法。
能根据系统的技术指标,论证、拟订设计方案;选用合适的电路形式并进行工程计算及选择电路的元器件。
3.培养独立组织实验方案、正确选择使用实验仪器的能力,提高对功能电路和系统的安装调整、测试技术,以及综合运用所学理论知识解决实际问题的能力。
(二)能力培养要求1.通过查阅手册和有关文献资料培养学生独立分析和解决实际问题的能力。
2.通过实际电路方案的比较分析、设计计算、元件选取、安装调试等环节,掌握简单实用电路的分析方法和工程设计方法。
3.掌握常用仪器设备的使用方法,学会简单的实验调试,提高动手能力。
4.综合应用课程中学到的理论知识去独立完成一个设计任务。
5.培养严肃认真的工作作风和严谨的科学态度。
三、课程设计内容从参考题目中自选1题进行资料查找和设计,具体课题及要求见附件1。
1.数码管显示控制器2.乒乓球游戏机3.智力竞赛抢答器4.数字钟5.交通灯控制器四、设计报告的内容和要求报告的内容包括以下几个方面:1、课程设计题目:自选题目名称2、设计任务及主要性能指标和要求3、电路的设计(1)根据功能要求构建总体设计方案,比较和选定设计的系统方案,确定整个电路的组成及各单元电路完成的功能,画出系统框图。
大学数字逻辑实验课程设计一、课程目标知识目标:1. 掌握数字逻辑电路的基本原理和设计方法;2. 熟悉数字逻辑电路的仿真与实验操作;3. 了解数字逻辑电路在实际工程中的应用。
技能目标:1. 能够运用所学知识设计简单的数字逻辑电路;2. 能够使用相关软件对数字逻辑电路进行仿真与测试;3. 能够分析并解决数字逻辑电路中存在的问题。
情感态度价值观目标:1. 培养学生对数字逻辑电路的探究兴趣,激发学生学习积极性;2. 培养学生的团队协作能力,增强合作意识;3. 培养学生的创新意识,提高实践能力。
课程性质:本课程为大学电子信息类专业的实验课程,旨在帮助学生将数字逻辑理论知识与实际操作相结合,提高学生的动手能力和实践能力。
学生特点:学生已具备一定的数字逻辑理论知识,但对于实验操作和相关软件的使用相对陌生。
教学要求:结合学生特点,注重理论与实践相结合,强调实验操作技能的培养。
通过课程学习,使学生能够将所学知识应用于实际工程设计中,提高学生的综合素质。
课程目标分解为具体学习成果,以便于后续教学设计和评估。
1. 数字逻辑电路基本原理:包括逻辑门、组合逻辑电路、时序逻辑电路等基本概念和原理。
- 教材章节:第1章 数字逻辑电路基础- 内容列举:逻辑门功能与真值表、组合逻辑电路设计、时序逻辑电路原理。
2. 数字逻辑电路设计方法:介绍常用数字逻辑电路设计方法,如原理图设计、硬件描述语言等。
- 教材章节:第2章 数字逻辑电路设计方法- 内容列举:原理图设计方法、硬件描述语言基础、数字逻辑电路设计实例。
3. 数字逻辑电路仿真与实验操作:学习使用相关软件进行数字逻辑电路的仿真与实验操作。
- 教材章节:第3章 数字逻辑电路仿真与实验- 内容列举:仿真软件介绍、仿真流程、实验操作步骤。
4. 数字逻辑电路在实际工程中的应用:分析数字逻辑电路在通信、计算机等领域的应用案例。
- 教材章节:第4章 数字逻辑电路应用- 内容列举:数字通信系统中数字逻辑电路的应用、计算机硬件中数字逻辑电路的应用。
大学数字逻辑课程设计一、教学目标本课程的教学目标旨在帮助学生掌握数字逻辑的基本理论、方法和技能。
通过本课程的学习,学生应能理解数字逻辑的基本概念,熟悉数字逻辑电路的设计与分析方法,掌握数字逻辑编程技巧,并具备一定的实际应用能力。
具体来说,知识目标包括:理解数字逻辑的基本概念,如逻辑门、逻辑函数、逻辑电路等;掌握数字逻辑电路的设计与分析方法,如逻辑门电路、组合逻辑电路、时序逻辑电路等;了解数字逻辑编程的基本方法,如Verilog、VHDL等。
技能目标包括:能够使用基本逻辑门电路搭建复杂的逻辑电路;能够使用硬件描述语言进行数字逻辑电路的设计与编程;能够对数字逻辑电路进行功能仿真与测试。
情感态度价值观目标包括:培养学生对数字逻辑技术的兴趣,使其认识到数字逻辑技术在现代社会中的重要地位和应用价值;培养学生严谨的科学态度、良好的团队合作精神和创新意识。
二、教学内容本课程的教学内容主要包括以下几个部分:1.数字逻辑基本概念:逻辑门、逻辑函数、逻辑电路等。
2.数字逻辑电路设计与分析方法:逻辑门电路、组合逻辑电路、时序逻辑电路等。
3.数字逻辑编程技巧:Verilog、VHDL等硬件描述语言的使用。
4.数字逻辑电路实例讲解与实践:常用数字逻辑电路的设计与验证。
教学大纲将按照以上内容进行安排,确保教学内容的科学性和系统性。
三、教学方法为了提高教学效果,本课程将采用多种教学方法,包括:1.讲授法:用于传授基本概念、原理和方法。
2.讨论法:鼓励学生积极参与课堂讨论,提高分析问题和解决问题的能力。
3.案例分析法:通过分析实际案例,使学生更好地理解和掌握数字逻辑电路的设计与分析方法。
4.实验法:让学生亲自动手进行数字逻辑电路的设计与验证,提高实际操作能力。
四、教学资源为了支持教学内容和教学方法的实施,我们将准备以下教学资源:1.教材:选用权威、实用的教材,为学生提供系统、全面的学习资料。
2.参考书:提供相关领域的参考书籍,丰富学生的知识体系。
数字逻辑课程设计一、教学目标本课程的教学目标是使学生掌握数字逻辑的基本知识和技能,培养学生的逻辑思维能力和创新意识,提高学生在计算机科学、电子工程等领域的应用能力。
具体目标如下:1.知识目标:学生能够理解数字逻辑的基本概念、原理和符号表示,掌握逻辑门、逻辑函数、逻辑电路的设计和分析方法。
2.技能目标:学生能够运用数字逻辑知识解决实际问题,具备使用逻辑电路图设计简单数字系统的能力,熟练使用数字逻辑仿真工具进行电路模拟。
3.情感态度价值观目标:学生通过学习数字逻辑,培养对计算机科学和电子工程等领域的兴趣和热情,增强创新意识,提高团队合作能力和口头表达能力。
二、教学内容本课程的教学内容主要包括以下几个部分:1.数字逻辑基本概念:数字逻辑的发展史、数字逻辑电路的基本元素、逻辑门的分类和特点。
2.逻辑函数:逻辑函数的定义、逻辑函数的表示方法、逻辑函数的性质和运算。
3.逻辑电路:逻辑电路的设计方法、逻辑电路的分类、逻辑电路的优化。
4.数字系统:数字系统的组成、数字系统的特点、数字系统的设计方法和步骤。
5.数字逻辑仿真:数字逻辑仿真工具的使用、数字电路的仿真分析。
三、教学方法为了达到本课程的教学目标,将采用以下教学方法:1.讲授法:通过讲解使学生掌握数字逻辑的基本概念和原理。
2.案例分析法:通过分析实际案例,使学生了解数字逻辑在实际应用中的作用。
3.实验法:通过实验操作,培养学生动手能力和实际问题解决能力。
4.讨论法:学生进行课堂讨论,激发学生的创新思维和团队合作能力。
四、教学资源为了支持本课程的教学内容和教学方法,将准备以下教学资源:1.教材:《数字逻辑》教材,为学生提供系统的数字逻辑知识。
2.参考书:提供相关领域的参考书籍,丰富学生的知识体系。
3.多媒体资料:制作课件、教学视频等,生动形象地展示数字逻辑的知识点。
4.实验设备:计算机、逻辑电路仿真器等,为学生提供实践操作的平台。
五、教学评估本课程的教学评估将采用多元化的评价方式,以全面、客观、公正地评估学生的学习成果。
课程设计报告课程名称数字逻辑课程设计课题任务一课题任务二专业班级学号姓名指导教师2011年12月31日课程设计任务书课程名称数字逻辑课程设计课题任务一课题任务二专业班级学生姓名学号指导老师审批任务书下达日期:2011年12月14日任务完成日期:2011年12月31日一、设计内容与设计要求1.设计内容:本课程是一门专业实践课程,学生必修的课程。
其目的和作用是使学生能将已学过的数字电子系统设计、VHDL程序设计等知识综合运用于电子系统的设计中,掌握运用VHDL或者Verilog HDL 设计电子系统的流程和方法,采用Quartus II等工具独立应该完成2个设计题目的设计、仿真与测试。
加强和培养学生对电子系统的设计能力,培养学生理论联系实际的设计思想,训练学生综合运用数字逻辑课程的理论知识的能力,训练学生应用Quartus II进行实际数字系统设计与验证工作的能力,同时训练学生进行芯片编程和硬件试验的能力。
任务一:组合电路设计课题题目题目一4线-16线译码器电路设计;题目二16选1选择器电路设计;题目三4位输入数据的一般数值比较器电路设计题目四10线-4线优先编码器的设计题目五8位全加器的设计任务二:时序电路设计课题题目题目一RS触发器的设计;题目二JK触发器的设计;题目三D触发器的设计;题目四T触发器的设计;题目五十进制同步计数器的设计;每位同学根据自己学号除以5所得的余数加一,在任务一和任务二中同时选择相应题号的课题。
2个任务独立完成对应“功能描述”、“详细设计”部分,共用封面、封二、成绩评分表和封底,装订成一册。
参考书目1 EDA技术与VHDL程序开发基础教程雷伏容,李俊,尹霞清华大学出版社978-7-302-22416-7 2010 TP312VH/363 VHDL 实用技术潘松,王国栋7-81065 7-81065-290-7 2000 TP312VH/15 VHDL编程与仿真王毅平等人民邮电出版社7-115-08641-9 2000 73.9621/W38V6 VHDL程序设计教程邢建平曾繁泰清华大学出版社7-302-11652-0 2005 TP312VH/27/37 VHDL电路设计雷伏容清华大学出版社7-302-14226-2 2006 TN702/1852.设计要求:●课程设计报告规范课程设计报告应包含如下几个部分1)功能描述说明设计器件的功能,包括真值表(功能表),函数表达式,逻辑电路图2) 详细设计按照VHDL语言开发流程写出整个开发过程,可以根据如下步骤适当导出程序,程序界面截图到课程设计报告对应模块。
数字逻辑课程设计_秒表一、教学目标本课程旨在让学生掌握秒表的基本原理和使用方法,培养学生的数字逻辑思维和实际操作能力。
具体目标如下:1.知识目标:学生能够理解秒表的工作原理,包括时间计算、计数器等基本概念。
2.技能目标:学生能够熟练使用秒表进行时间测量和计数,并能进行简单的故障排查和维修。
3.情感态度价值观目标:通过学习秒表,培养学生对科学技术的兴趣和好奇心,提高学生的问题解决能力和团队合作意识。
二、教学内容本课程的教学内容主要包括以下几个部分:1.秒表的基本原理:介绍秒表的工作原理,包括时间计算、计数器等基本概念。
2.秒表的使用方法:教授学生如何正确使用秒表进行时间测量和计数,包括操作步骤和注意事项。
3.秒表的故障排查和维修:培养学生对秒表故障的识别和解决能力,包括常见故障的原因和维修方法。
三、教学方法为了提高学生的学习兴趣和主动性,本课程将采用多种教学方法:1.讲授法:教师通过讲解秒表的基本原理和使用方法,让学生掌握相关知识。
2.讨论法:学生分组讨论秒表的使用心得和故障解决经验,促进学生之间的交流和合作。
3.案例分析法:教师提供一些实际的案例,让学生分析并解决秒表的使用问题,培养学生的实际操作能力。
4.实验法:学生在实验室进行秒表的操作和实践,加深对秒表的理解和掌握。
四、教学资源为了支持教学内容和教学方法的实施,丰富学生的学习体验,我们将准备以下教学资源:1.教材:选择合适的秒表教材,为学生提供系统的学习资料。
2.参考书:提供一些相关的参考书籍,供学生进一步深入学习。
3.多媒体资料:制作一些教学视频和演示文稿,帮助学生更好地理解秒表的工作原理和使用方法。
4.实验设备:准备一些秒表和相关实验设备,让学生进行实际操作和实验。
五、教学评估为了全面、客观、公正地评估学生的学习成果,本课程将采用以下评估方式:1.平时表现:通过观察学生在课堂上的参与程度、提问回答、小组讨论等表现,评估其学习态度和理解能力。
数字逻辑设计与应用课程设计一、课程目标知识目标:1. 理解数字逻辑电路的基本概念,掌握常见的逻辑门及其功能;2. 学会使用数字逻辑设计软件进行基本电路设计和仿真;3. 掌握数字电路的时序分析,理解触发器、计数器等时序电路的工作原理;4. 了解数字系统的设计方法,能运用所学知识解决实际问题。
技能目标:1. 能够正确使用数字逻辑设计软件进行电路设计和仿真;2. 能够根据实际需求,设计简单的数字逻辑电路;3. 能够分析数字电路的性能,进行优化和改进;4. 能够撰写规范的数字电路设计报告。
情感态度价值观目标:1. 培养学生对数字逻辑电路的兴趣,激发学习热情;2. 培养学生的团队协作精神,学会与他人共同解决问题;3. 培养学生严谨的科学态度,注重实验数据的准确性和可靠性;4. 引导学生关注数字逻辑技术在现实生活中的应用,认识到科技对生活的影响。
本课程针对高中年级学生,结合数字逻辑设计与应用课程性质,强调理论与实践相结合,注重培养学生的实际操作能力和创新思维。
通过本课程的学习,使学生能够掌握数字逻辑电路的基本知识和技能,为后续学习电子技术、计算机科学等领域打下坚实基础。
同时,课程旨在培养学生良好的学习态度和价值观,为我国电子信息产业的发展输送高素质的人才。
二、教学内容1. 数字逻辑基础:逻辑门、逻辑函数、逻辑代数及其基本定理;2. 数字逻辑电路设计:组合逻辑电路、时序逻辑电路设计;3. 常见数字逻辑电路:编码器、译码器、多路选择器、计数器、触发器等;4. 数字逻辑电路仿真:使用软件进行电路设计与仿真;5. 数字系统设计方法:自顶向下设计、模块化设计;6. 数字电路应用案例分析:简单数字系统设计实例。
教学内容按照以下进度安排:第一周:数字逻辑基础,包括逻辑门、逻辑函数等;第二周:组合逻辑电路设计;第三周:时序逻辑电路设计,引入触发器、计数器等内容;第四周:数字逻辑电路仿真,学习使用相关软件;第五周:数字系统设计方法,分析实际应用案例;第六周:总结复习,进行课程设计与实践。
目录一、任务一:16选1选择器的设计 (4)1、功能描述1)逻辑电路图 (4)2)函数表达式 (5)3)功能表 (5)2、详细设计1)新建工程 (6)2)VHDL文本设计输入 (7)3)编译 (8)4)打开波形编辑器绘制测试向量波形 (9)3、功能调试1)功能模拟 (10)2)时序模拟 (11)二、任务二:JK触发器的设计(同任务一) (15)三、设计体会 (19)四、附录1)参考书目 (20)2)源程序 (21)任务一:16选1选择器的设计1、功能描述1)逻辑电路图2)函数表达式16选1数据选择器的函数表达式如下:0E ~15E 是数据输入端,Y 是数据输出端,有逻辑图可得到输出表达式为:0123456789101112131415Y E ABCD E ABCD E ABCD E ABCD E ABCD E ABCD E ABCD E ABCD E ABCD E ABCD E ABCD E ABCD E ABCD E ABCD E ABCD E ABCD=+++++++++++++++3)功能表2、详细设计1)、新建工程、工程管理在quartus2环境下,在左上角点击“文件”选择“New p roject Wizard”,出现窗口如下:输入工程名字lesson8后,点击Next,在第三个窗口后在Family选择MAX2,在Devices中选择ALL,Available device中选择“EPM570T100C5”,如图:2)、VHDL文本设计输入建立好新的工程后,打开一个文本编辑的窗口,然后再窗口中编辑16选1数据选择器的VHDL文本文件,如下:文本文件编辑完成后,以lesson8.vhd为文件名保存与工程目录当中。
3)编译选择命令Processing|Com piler Tool,打开编译窗口,编译器包含5个主模块,可以连续运行,也可以单独运行。
4)打开波形编辑器绘制测试向量波形选择命令File—New选择Vector Waveform File文件点击OK,然后指定模拟终止时间,选择命令Edit|end time在对话框中操作。
引入要观察的节点名选择命令Edit|insert Node or BUS,让偶点击Node Finder出现节点查找窗口如下图,将需要观察的从左移向右边,点击OK。
波形编辑完毕后如图,3、功能调试1)功能模拟使用命令processing|simulator tool,出现模拟窗口如下图:在simulation mode中选择functional选择好文件lesson8.vwf后点击star启动模拟器点击report观察模拟波形。
2)时序模拟使用命令processing|simulator tool,出现模拟窗口如下图:在simulation mode 中选择timing选择好文件lesson8.vwf后点击star启动模拟器点击report观察模拟波形。
任务二 JK触发器的设计1、功能描述1)JK 触发器的简化特性表2)JK 触发器的特性方程1n n n QJQ KQ+=+3)逻辑电路图2、详细设计1)、新建工程、工程管理在quartus2环境下,在左上角点击“文件” 选择“New projectWizard”,出现窗口如下:输入工程名字epmsl,后点击NEXT,在第三个窗口后在Family选择MAX2,在Devices中选择ALL,Available device中选择“EPM570T100C5”,如图:2)、VHDL文本设计输入建立好新的工程后,打开一个文本编辑的窗口,然后再窗口中编辑J K触发器的VHDL文件如下:文本文件编辑完成后,以epmsl8.vhd为文件名保存与工程目录当中。
3)编译选择命令Processing|Compiler Tool,打开编译窗口,编译器包含5个主模块,可以连续运行,也可以单独运行。
4)打开波形编辑器绘制测试向量波形选择命令File—New选择Vector Waveform File文件点击OK,然后指定模拟终止时间,选择命令Edit|end time在对话框中操作。
引入要观察的节点名选择命令Edit|insert Node or将需要观察的从左移向右边,点击O K。
B US,让偶点击Node Finder出现节点查找窗口如下图,波形编辑完毕后如图,波形编辑完毕后如图,3、功能调试1)功能模拟使用命令processing|simulator tool,出现模拟窗口如下图:在simulation mode中选择functional选择好文件lesson8.vwf后点击star启动模拟器点击report观察模拟波形。
2)时序模拟使用命令processing|simulator tool,出现模拟窗口如下图:在simulation mode 中选择timing选择好文件lesson8.vwf后点击star启动模拟器点击report观察模拟波形。
三、设计体会1、设计过程中遇到的问题及解决方法1)文件的后缀名不是。
VHD而是。
V,这是由于创建文件是选择到了Verilog HDL,应该改成VHDL2)在设计过程中程序编译的时候老是会出现一个错误Error:Linel,……VHDL Design File “mg.vhd”must contain……;经过查找资料知道了这事设计文件名与实体名不符;3)在为项目指定目标器件时指定错误而产生的错误,设计时的指定期间应该是EPM570T100C52、心得体会转眼间一周数字逻辑课程设计转眼就结束了,回首过去,真的好纠结,但是通过这次课程设计,我学会了许多课本上学不到的东西,同时也加强了我的动手、思考和解决问题的能力,受益匪浅。
在课程设计之前的几次试验课,刘老师从整体上给我们说明了设计的大体思路,每一步该实现怎么样的功能,怎么实现该功能。
接下来的任务就去找资料,设计并且仿真。
本来觉得对着做还蛮简单的嘛,那知道这几天的课设下来,忙到头大。
为了搞懂课程设计的程序,我从图书馆里借来了好几本书,同时也在网上找了资料;再到逻辑功能,函数表达式;然后运行好程序如何把波形图弄出来,截图……经过一番努力终于解决啦,而在课程设计过程中,我觉得是对课本知识的巩固和加强,由于课本上的知识太多,同时平时课间又没有好好的运用额理解个个元件的功能,而且考试的内容有限,所以在这次课程设计过程中,我们了解很多,感觉对这门课的认识又加深了不少。
从前的学习过程过于浮浅,只是流于表面的理解,而现在要做课程设计,就不得不要求我们对所用到的知识有更深层次的理解。
因为课程设计的内容比及书本中的理论知识而言,更接近于现实生活,而理论到实践的转化往往是一个艰难的过程,虽然看上去是很容易,但是真的做起来真的就……前几天的主要任务是将程序运行出来然后再进行模拟验证,截图等,刚开始的时候拿着程序居然忘记怎么弄了,又拿着老是发下来的资料对照着做了几遍,终于搞懂,突然觉得掌握一种新的东西,首先得会模仿,然后随着实践的演练,就会写一些简单的,通过这种循序渐进的学习,才能更好的掌握它。
在设计中会遇到这样那样的问题,有时认为是正确的,而在做的时候却出现了这样那样的问题。
比如说在创建工程的时候,对于工程的名字需要和程序的对应起来,如果没对应在编译的时候会发生错误等等,完成纠错后才觉得有些事情只有去做了才能够体会到他的艰辛。
通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。
在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。
此次课程设计,学到了很多课内学不到的东西,比如独立思考解决问题,出现差错的随机应变,和与人合作共同提高,都受益非浅。
学习,我觉得结果并不是最重要的,很多结果并不美好,很多过程必不可少。
虽然这个有些过程漫长,有点让人受煎熬,花了很多的时间,但是收获却也是与花的时间是成正比的,问题越多收获越多。
当你站在一定的高度回望所来径时,那是另一种感受。
最后,还要感谢给予我们精心辅导的刘老师(波哥),还有其他代理课程设计的老师,也向他们表示衷心的感谢!四。
附录1、参考书目1 EDA 技术与VHDL 程序开发基础教程雷伏容,李俊,尹霞清华大学出版社978-7-302-22416-7 2010 TP312VH/363 VHDL 实用技术潘松,王国栋7-810657-81065-290-72000 TP312VH/14 VHDL 语言100 例详解北京理工大学ASIC 研究所 7-900625 7-900625-02-X 1999 TP312VH/35 VHDL 编程与仿真 王毅平等 人民邮电出版社 7-115-08641-9 2000 73.9621/W38V7 VHDL 电路设计 雷伏容清华大学出版社7-302-14226-22006 TN702/1852、源程序1)、16选1数据选择器-- 设电路的16位数据输入为A[l5 … 0],-- 使能控制端为ENA,高电平有效,数据选择输出为Y. library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;--*****************************************entity lesson8 isport(a: in std_logic_vector(15 downto 0);sw: in std_logic_vector(3 downto 0);ena:in std_logic;y: out std_logic);end lesson8;--****************************************** architecture one of lesson8 isbeginprocess(ena,sw)beginif ena='1' thencase sw iswhen "0000"=>y<=a(0);when "0001"=>y<=a(1);when "0010"=>y<=a(2);when "0011"=>y<=a(3);when "0100"=>y<=a(4);when "0101"=>y<=a(5);when "0110"=>y<=a(6);when "0111"=>y<=a(7);when "1000"=>y<=a(8);when "1001"=>y<=a(9);when "1010"=>y<=a(10);when "1011"=>y<=a(11);when "1100"=>y<=a(12);when "1101"=>y<=a(13);when "1110"=>y<=a(14);when "1111"=>y<=a(15);when others=>y<='X';end case;end if;end process;end architecture one;2)JK触发器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY epmsl ISPORT(RD,SD,P,J,K:IN STD_LOGIC;Qa:BUFFER STD_LOGIC ;Qb:BUFFER STD_LOGIC );END epmsl;ARCHITECTURE BEHAV OF epmsl ISsignal q_s,nq_s:std_logic;BEGINPROCESS(J,K,P)BEGINIF RD='1' THENq_s<='0';nq_s<='1';ELSIF rd='0'and SD='1' THENq_s<='1';nq_s<='0';ELSIF (P'EVENT AND P='0') THENif(j='0')and(k='1')thenq_s<='0';nq_s<='1';elsif (j='1')and(k='0')thenq_s<='1';nq_s<='0';elsif(j='1')and(k='1')then q_s<=not q_s;nq_s<=not nq_s;end if;END IF;Qa<=q_s;Qb<=nq_s;END PROCESS;END BEHAV;计算机与通信学院课程设计评分表课题名称:任务一任务二教师签名:日期:。