:数据选择器设计(实验报告)

  • 格式:doc
  • 大小:239.00 KB
  • 文档页数:6

下载文档原格式

  / 6
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验报告

一、实验目的

熟悉QuartusⅡ的VHDL文本设计流程全过程,学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。

二、实验设备

GW48系列SOPC/EDA实验开发系统实验箱一台计算机一台

三、实验内容

1首先利用QuartusⅡ完成2选1多路选择器的文本编辑输入(mux21a.vhd)和仿真测试等步骤,给出下图所示的仿真波形。最后在实验系统上进行硬件测试,验证本项设计的功能。

源程序为:

ENTITY mux21a IS

PORT ( a, b, s: IN BIT;

y : OUT BIT );

END ENTITY mux21a;

ARCHITECTURE one OF mux21a IS

BEGIN

PROCESS (a,b,s)

BEGIN

IF s = '0' THEN y <= a ; ELSE y <= b ;

END IF;

END PROCESS;

END ARCHITECTURE one ;

图(1 ) 2选1多路选择器的编译图

、图(2) 功能仿真的波形图

图(3 ) 功能引脚图

图(4) 2选1多路选择器的RTL电路

2. 将此多路选择器看成是一个元件mux21a,利用元件例化语句描述图,并将此文件放在同一目录中。并对上例分别进行编译、综合、仿真,并对其仿真波形做出分析说明。以下是程序:

图(5) 双二选一多路选择器的编译图

图(6) 双路数据选择器功能仿真图

图(7) 双二选一多路选择器的引脚锁定图图 5 双2选1多路选择器

图(8) 双路数据选择器RTL电路图

图(9) 编程下载图

3.、引脚锁定以及硬件下载测试。若选择目标器件是EP1C3,建议选实验电路模式5,用键1(PIO0,引脚号为1)控制s0;用键2(PIO1,引脚号为2)控制s1;a3、a2 和a1 分别接clock5(引脚号为16)、clock0(引脚号为93)和clock2(引脚号为17);输出信号outy仍接扬声器spker(引脚号为129)。通过短路帽选择clock0接256Hz信号,clock5 接1024Hz,clock2 接8Hz 信号。最后进行编译、下载和硬件测试实验(通过选择键1、键2,控制s0、s1,可使扬声器输出不同音调)。

图(10) 实验电路模式5

图(11) 功能引脚图

四、实验总结

1 实验存在的问题

上学期尽管学过quartus II但是由于长时间没有操作,很多都已经忘了,所以操作起来不是很熟练。特别是程序下载方面。

2 解决问题的方法

通过在实验中慢慢回忆起以前学的知识,渐渐熟悉其过程。再有问题就和同学交流帮忙!

3 实验收获

对quartus II的操作方法更熟练了,也明白它是干什么的了!对软件有了更深的认识。同时也明白了!不管哪方面的学习都要温故而知新。