课程设计:三分钟可编程倒计时报警器
- 格式:doc
- 大小:182.00 KB
- 文档页数:12
led倒计时plc课程设计一、课程目标知识目标:1. 学生能理解PLC(可编程逻辑控制器)的基本原理和功能;2. 学生能掌握LED倒计时电路的设计方法及其与PLC的连接方式;3. 学生能了解并运用相关的编程语言,实现对LED倒计时的控制。
技能目标:1. 学生能够运用PLC进行基本的逻辑编程,实现LED灯的倒计时功能;2. 学生通过实际操作,提高电路连接和故障排查的能力;3. 学生能够通过小组合作,提高团队协作和沟通能力。
情感态度价值观目标:1. 学生培养对自动化技术及PLC控制技术的兴趣,激发学习的热情;2. 学生在实践过程中,培养创新意识和动手能力,增强自信心;3. 学生能够意识到科技在生活中的重要性,认识到学习知识对社会发展的贡献。
课程性质:本课程为实践性较强的课程,结合理论知识和动手操作,提高学生的实践能力。
学生特点:学生处于初中或高中年级,具有一定的电子基础知识和逻辑思维能力。
教学要求:教师应引导学生主动参与实践,注重培养学生的动手能力和团队协作精神,将理论知识与实际操作相结合,提高学生的综合素质。
通过本课程的学习,使学生在掌握知识技能的同时,培养正确的情感态度价值观。
二、教学内容1. PLC基础知识:介绍PLC的定义、结构、工作原理及其在工业控制中的应用;教材章节:第一章 可编程逻辑控制器概述内容:PLC的组成、功能、分类及其优势。
2. PLC编程语言:讲解PLC编程的基础知识,包括指令系统、编程规则等;教材章节:第二章 PLC编程语言内容:逻辑指令、定时器指令、计数器指令等。
3. LED倒计时电路设计:介绍LED倒计时电路的原理、设计方法及所需元器件;教材章节:第三章 电气控制电路设计内容:LED灯的连接方式、电路图绘制、元器件选型。
4. PLC与LED倒计时电路连接:讲解如何将PLC与LED倒计时电路连接,实现控制功能;教材章节:第四章 PLC与外部设备连接内容:PLC输入/输出接口、连接方式、接线图。
plc课程设计倒计时一、教学目标本课程的教学目标是使学生掌握PLC(可编程逻辑控制器)的基本原理、编程方法和应用技巧。
通过本课程的学习,学生应能够:1.描述PLC的基本组成部分和工作原理。
2.熟练使用PLC编程软件进行程序设计。
3.分析简单的PLC控制系统,并进行编程和调试。
4.理解PLC在工业自动化中的应用和优势。
二、教学内容本课程的教学内容主要包括以下几个部分:1.PLC的基本原理:介绍PLC的定义、分类、基本组成部分和工作原理。
2.PLC编程基础:讲解PLC编程语言、编程规则和常用编程指令。
3.PLC控制系统设计:分析PLC控制系统的组成、设计方法和步骤。
4.PLC应用案例:通过实际案例,讲解PLC在工业自动化领域的应用。
三、教学方法为了提高学生的学习兴趣和主动性,本课程将采用多种教学方法:1.讲授法:讲解PLC的基本原理、编程方法和应用案例。
2.讨论法:学生进行小组讨论,分享学习心得和解决问题的方法。
3.案例分析法:分析实际案例,让学生了解PLC在工业自动化中的应用。
4.实验法:安排实验室实践环节,让学生动手操作PLC控制系统。
四、教学资源为了支持教学内容和教学方法的实施,本课程将准备以下教学资源:1.教材:选用权威、实用的PLC教材,为学生提供系统、全面的学习资料。
2.参考书:推荐学生阅读相关参考书籍,丰富知识体系。
3.多媒体资料:制作课件、教学视频等,提高课堂教学效果。
4.实验设备:准备PLC实验装置,让学生进行实际操作练习。
五、教学评估本课程的评估方式将包括平时表现、作业、考试等多个方面,以全面客观地反映学生的学习成果。
具体评估方式如下:1.平时表现:通过课堂参与、提问、讨论等方式评估学生的学习态度和理解能力。
2.作业:布置适量的作业,评估学生的编程能力和应用能力。
3.考试:进行期中考试和期末考试,以检验学生对课程知识的掌握程度。
六、教学安排本课程的教学安排将根据课程内容和学生的实际情况进行制定。
课程设计报告课程名称:单片机课程设计题目:计时提醒器摘要单片机微型计算机是微型计算机的一个重要分支,也是颇具生命力的机种。
单片机微型计算机简称单片机,特别适用于控制领域,故又称为微控制器。
通常,单片机由单块集成电路芯片构成,内部包含有计算机的基本功能部件:中央处理器、存储器和I/O接口电路等。
因此,单片机只需要和适当的软件及外部设备相结合,便可成为一个单片机控制系统。
单片机经过1、2、3、3代的发展,目前单片机正朝着高性能和多品种方向发展,它们的CPU功能在增强,内部资源在增多,引角的多功能化,以及低电压底功耗。
该实物是一个由两位七段数码管、小键盘和蜂鸣器组成的计时提醒器,它是一个很传统的制作项目,这是因为自14世纪出现第一台沙漏作为早期工厂中的计时器,到近代的机械式计时器,人们一直把计时器看作便利的计时工具。
与钟表不同,计时器可以简单地设置一个时间长度,并在这个时间消逝完毕后给人以提示。
该实物就是利用单片机和外围器件制作一个21世纪的“沙漏”。
关键词:数码管;开关;单片机目录1、设计任务、要求 (1)1.1、设计任务 (1)1.2、设计要求 (1)1.3、发挥部分 (1)1.4、创新部分 (1)2、方案总体设计与论证 (1)3、硬件设计 (2)3.1、单片机最小系统 (2)3.2、单片机复位电路 (2)3.3、系统电源 (3)3.4、小键盘 (3)3.5、发光二极管 (3)3.6、数码管 (4)3.7、整体电路 (4)4、软件设计 (6)5、系统仿真与调试 (6)5.1、仿真软件简介、调试过程等 (6)5.2、调试与仿真过程图 (7)5.3、使用说明等 (9)6、设计总结 (9)7、参考文献 (10)附录一源程序 (10)附录二硬件名称列表 (15)1、设计任务、要求1.1、设计任务完成一个计时提醒器的设计。
1.2、设计要求开机时,七段数码管显示“00”,等待输入计时时间,在小键盘输入14秒等。
plc倒计时课程设计一、课程目标知识目标:1. 学生能理解PLC(可编程逻辑控制器)的基本原理和功能。
2. 学生能掌握倒计时程序在PLC中的应用及其逻辑设计。
3. 学生能描述倒计时过程中涉及的输入输出信号及其作用。
技能目标:1. 学生能够操作PLC编程软件,编写并调试简单的倒计时程序。
2. 学生能够运用逻辑思维和问题解决技巧,分析并优化倒计时程序。
3. 学生能够通过小组合作,共同完成倒计时PLC程序的调试和运行。
情感态度价值观目标:1. 学生培养对自动化控制技术的兴趣,激发学习PLC技术的热情。
2. 学生在小组合作中学会沟通、协作,培养团队精神。
3. 学生认识到PLC技术在生产生活中的重要性,增强实践操作能力和创新意识。
课程性质:本课程为实践操作课,以学生动手实践为主,结合理论知识,培养学生的实际操作能力。
学生特点:学生处于高年级阶段,已具备一定的PLC基础知识和操作能力,具备较强的逻辑思维和分析能力。
教学要求:教师应充分引导学生参与实践,关注学生的个体差异,提高学生的动手能力和问题解决能力。
在教学过程中,注重理论与实践相结合,培养学生的创新意识和团队合作精神。
通过本课程的学习,使学生能够将所学知识应用于实际工程案例中,提高综合应用能力。
二、教学内容本节教学内容主要包括:1. PLC基本原理回顾:使学生巩固PLC的工作原理、结构组成以及其在工业控制中的应用。
2. 倒计时程序设计:学习PLC编程中倒计时功能的实现,包括计数器的使用、程序逻辑设计等。
- 教材章节:第三章“PLC程序设计基础”,第五节“计数器与定时器”。
- 内容列举:倒计时原理、计数器操作指令、程序流程图绘制。
3. PLC编程软件操作:教授学生如何使用PLC编程软件进行程序编写、调试和运行。
- 教材章节:第四章“PLC编程软件的使用”,第一节“软件界面及功能介绍”。
- 内容列举:软件基本操作、程序输入与下载、调试与监控。
4. 倒计时程序实践:指导学生分组进行倒计时程序的设计、编程和调试。
报警器完整课程设计一、教学目标本节课的教学目标是让学生掌握报警器的基本原理、结构和功能,能够熟练地安装和调试报警器,并了解报警器在生活中的应用。
具体来说,知识目标包括:了解报警器的基本原理,掌握报警器的结构组成,理解报警器的工作原理;技能目标包括:能够独立完成报警器的安装和调试,能够分析并解决报警器使用过程中遇到的问题;情感态度价值观目标包括:培养学生对科学的兴趣和好奇心,培养学生动手实践的能力,提高学生对安全意识的重视。
二、教学内容本节课的教学内容主要包括报警器的基本原理、报警器的结构和报警器在生活中的应用。
首先,介绍报警器的基本原理,让学生了解报警器是如何工作的;然后,讲解报警器的结构组成,包括传感器、触发器、报警器电路等;最后,通过实际案例分析,让学生了解报警器在生活中的应用,如烟雾报警器、燃气报警器等。
三、教学方法为了实现本节课的教学目标,我们将采用多种教学方法,包括讲授法、讨论法、案例分析法和实验法。
首先,通过讲授法向学生传授报警器的基本原理和结构;然后,通过讨论法让学生交流讨论报警器的工作过程和应用场景;接着,通过案例分析法让学生分析实际案例中报警器的作用;最后,通过实验法让学生亲自动手操作,安装和调试报警器,提高学生的实践能力。
四、教学资源为了支持本节课的教学内容和教学方法的实施,我们将准备以下教学资源:教材《报警器原理与实用技术》、参考书《报警器设计与应用》、多媒体资料(包括报警器的图片、视频等)、实验设备(包括报警器、传感器等)。
这些教学资源将丰富学生的学习体验,帮助学生更好地理解和掌握报警器的相关知识。
五、教学评估本节课的教学评估将采用多元化评价方式,全面客观地评价学生的学习成果。
评估方式包括平时表现、作业、考试等。
平时表现主要评估学生在课堂上的参与度、提问回答等情况,占总评的30%;作业主要包括课堂练习和课后作业,占总评的20%;考试分为期中考试和期末考试,占总评的50%。
成绩课程设计说明书题目:倒计时计数报警器设计课程名称:数字电子技术学院:电子信息与电气工程学院学生姓名:学号:专业班级:指导教师:2015年5月28日课程设计任务书倒计时计数报警器摘要:设计了一个倒计时计数报警器电路,该电路可以实现倒计时报警的功能,并且倒计时的数值可调。
该电路主要由四部分组成,第一部分是触发电路,用按键和一片74LS00实现;第二部分是可调计数部分,用到的芯片是2片74LS192N和2个拨码开关;第三部分是显示部分,用2片74LS47和2个共阳极数码管实现;第四部分是报警电路,用555定时器和蜂鸣器及LED发光二极管实现。
经过Multisim软件的仿真测试,该电路可以实现预期功能。
本次设计利用Alitum Designer 软件对电路进行了原理图设计和PCB设计,最后进行PCB制板及元件的安装调试,调试结果与仿真效果一致。
关键词:倒计时计数报警器;555定时器;数码管;电路仿真;PCB目录1. 设计背景 (1)1.1数字电路的定义与组成 (1)1.2时钟电路的作用及基本构成 (1)2.设计方案 (2)2.1设计的流程 (2)2.2设计方案一 (2)2.3设计方案二 (3)2.4 两者的比较 (3)3.方案实施 (3)3.1电路设计 (3)(1)计数与显示部分 (3)(2)定时报警部分 (4)(3)整体电路图 (5)3.2用Alitum Designer 09 设计出原理图 (5)3.3 PCB电路板的设计 (6)3.4电路板的初步制作 (6)3.5电路板的焊接 (6)3.6电路的调试 (7)4. 结果与结论 (7)4.1计数报警器工作情况 (7)5. 收获与致谢 (8)6. 参考文献 (9)7. 附件 (9)1. 设计背景1.1数字电路的定义与组成数字电路系统一般包括输入电路、控制电路、输出电路、时钟电路和电源等。
输入电路主要作用是将被控信号转换成数字信号,其形式包括各种输入接口电路。
电子倒计时课程设计一、教学目标本课程旨在让学生了解电子倒计时器的工作原理和基本制作方法,通过实践活动,培养学生的动手能力、创新能力及团队协作精神。
知识目标:使学生掌握电子倒计时器的基本电路原理、元器件功能及使用方法;了解电子倒计时器在实际应用中的优势和局限。
技能目标:培养学生运用电子元件制作电子倒计时器的能力,提高学生的动手实践能力;培养学生运用电子技术解决实际问题的能力。
情感态度价值观目标:培养学生对电子科技的兴趣,增强学生对科技创新的认识;培养学生团队协作、积极探究的科学精神。
二、教学内容本课程的教学内容主要包括电子倒计时器的工作原理、基本电路、元器件功能、制作方法及应用。
1.电子倒计时器工作原理:介绍电子倒计时器的工作原理,使学生了解其内部电路及工作过程。
2.基本电路:讲解电子倒计时器的基本电路,包括电源电路、计数电路、显示电路等,使学生掌握电子电路的基本组成。
3.元器件功能:介绍电子倒计时器中所用到的主要元器件的功能及特点,使学生了解元器件在电路中的作用。
4.制作方法:讲解电子倒计时器的制作方法,包括电路设计、焊接、调试等步骤,培养学生动手实践能力。
5.应用:介绍电子倒计时器在实际生活中的应用,使学生了解电子倒计时器的实际价值。
三、教学方法本课程采用讲授法、实践操作法、小组讨论法等多种教学方法,以激发学生的学习兴趣和主动性。
1.讲授法:讲解电子倒计时器的工作原理、基本电路及元器件功能,使学生掌握相关理论知识。
2.实践操作法:安排学生动手制作电子倒计时器,培养学生的动手实践能力。
3.小组讨论法:引导学生分组讨论制作过程中的问题,培养学生的团队协作精神和问题解决能力。
四、教学资源本课程所需教学资源包括教材、参考书、多媒体资料、实验设备等。
1.教材:选用符合课程要求的教材,为学生提供系统的理论知识。
2.参考书:提供相关领域的参考书籍,丰富学生的知识体系。
3.多媒体资料:制作课件、视频等多媒体资料,帮助学生形象地理解课程内容。
《倒计时器》教学设计本节课学习对象是五年级的学生,由于这一节课的内容是五年级下册的内容,并且这节课的内容比较抽象,所以对于刚刚接触编程的学生来说是有一定难度的。
课前学生熟悉了Scraino的基本界面,熟悉了基本操作。
本节课就是在解决生活实际问题的过程中,学生能够借助流程图理清编程思路,理解循环结构,会选择合适的循环结构编写最简单的脚本,在这个过程中学生的创新思维和计算思维得到发展,产生对编程学习的兴趣,为以后编程打下基础。
完成此课程后,学生能够:1.理解变量的定义,能够在scraino中新建和使用变量。
2.能够读懂简单的流程图,并借助流程图进行编程,解决简单的问题,创新思维和计算思维得到发展。
3.理解三种循环结构积木的特点和作用,在编程过程中能够选择合适的循环结构搭建最简单的脚本。
4.能够初步理解“减法运算”和“计时器”积木之间的关系。
重点:1.理解变量的定义,能够在scraino中新建和使用变量。
2.能够读懂简单的流程图,并借助流程图进行编程,解决简单的问题。
3.选择合适的循环结构搭建最简单的脚本。
4.运算模块的简单应用。
难点:1.理解三种循环结构积木的特点和作用,在编程过程中能够选择合适的循环结构搭建最简单的脚本。
2.运算模块的简单应用。
(一)创设情境,导入新课师:2020年对于我们来说是不平凡的一年,一场突如其来的疫情,给我们带来了巨大的挑战。
师:挑战面前,我们没有退缩,我们国人众志成城,共抗疫情。
医护人员奋战在一线抢救生命,社区人员给我们配送口罩,蔬菜,消毒液等必需品,做好后勤保障。
(课件展示图片)师:作为小学生的我们,也想贡献自己的一份力量。
有的同学想到给机器人编写程序,让机器人自动发放口罩。
师:那么机器人每天的任务是什么呢?(课件展示机器人任务:每天机器人准备1000个,每家领取4个口罩,1000个口罩全部领取,机器人完成任务)【设计意图】以贴近学生生活实际的话题导入新课,更有亲和力更能激发学生的参与热情与求知欲。
计时报警器课程设计一、课程目标知识目标:1. 学生能够理解计时报警器的基本原理,掌握计时器与报警器电路的组成与功能。
2. 学生能够运用所学知识,设计并搭建一个简单的计时报警器电路。
3. 学生了解计时报警器在实际生活中的应用,如厨房定时、安全报警等。
技能目标:1. 学生通过动手实践,培养电路搭建、调试与故障排查的能力。
2. 学生能够运用电子元件和工具进行电路制作,提高动手操作能力。
3. 学生学会合作解决问题,培养团队协作能力。
情感态度价值观目标:1. 学生培养对电子技术的兴趣,激发创新意识和探索精神。
2. 学生认识到科技在生活中的重要性,增强学以致用的意识。
3. 学生在实践过程中,培养耐心、细心和责任意识。
课程性质:本课程为实践性课程,结合电子技术知识,培养学生的动手操作能力和团队协作能力。
学生特点:五年级学生具备一定的认知能力和动手能力,对新鲜事物充满好奇,喜欢动手实践。
教学要求:教师应注重引导学生掌握基本原理,关注学生实践过程,鼓励学生合作交流,培养学生解决问题的能力。
将课程目标分解为具体的学习成果,以便于教学设计和评估。
二、教学内容本课程教学内容紧密结合课程目标,以科学性和系统性为原则,主要包括以下几部分:1. 计时报警器基本原理:讲解计时器与报警器的工作原理,引导学生理解其电路组成和功能。
教学内容:- 介绍计时器与报警器的定义及作用- 分析计时报警器电路的组成,如电源、时钟芯片、驱动电路等- 讲解各部分功能及相互关系2. 电路元件与工具的使用:介绍常用的电子元件和工具,使学生掌握其使用方法。
教学内容:- 识别常用的电子元件,如电阻、电容、二极管、三极管等- 学会使用万用表、电烙铁等基本工具- 掌握焊接技巧和注意事项3. 计时报警器电路设计与搭建:指导学生设计并搭建一个简单的计时报警器电路。
教学内容:- 分析实例电路,了解设计思路- 学习电路图的绘制方法- 动手搭建计时报警器电路,并进行调试与优化4. 计时报警器应用案例分析:通过实际案例分析,让学生了解计时报警器在生活中的应用。
倒计时报警器课程设计一、课程目标知识目标:1. 学生理解倒计时报警器的基本原理,掌握其电路构成和功能;2. 学生了解计时器的种类,掌握倒计时报警器的应用场景;3. 学生掌握倒计时报警器的编程方法,能运用所学知识设计简单的倒计时报警器程序。
技能目标:1. 学生能运用所学知识,动手搭建一个简单的倒计时报警器电路;2. 学生掌握倒计时报警器的编程技巧,能独立编写倒计时报警程序;3. 学生具备分析和解决问题的能力,能针对倒计时报警器在实际应用中遇到的问题提出解决方案。
情感态度价值观目标:1. 学生培养对电子制作的兴趣,激发创新精神和动手实践能力;2. 学生学会合作与交流,培养团队意识和协作能力;3. 学生认识到科技在实际生活中的重要作用,提高社会责任感和价值观。
课程性质:本课程为电子信息学科实践课程,以培养学生的动手能力、创新能力和实际应用能力为主。
学生特点:六年级学生,具有一定的电子知识和编程基础,对新鲜事物充满好奇,喜欢动手实践。
教学要求:结合学生特点,注重实践操作,鼓励学生创新,培养其解决问题的能力。
通过本课程的学习,使学生能够将所学知识应用于实际生活,提高其电子信息学科素养。
后续教学设计和评估将围绕以上具体学习成果展开。
二、教学内容本课程依据课程目标,结合电子信息学科教材,组织以下教学内容:1. 理论知识:- 计时器原理及分类;- 倒计时报警器电路构成及功能;- 编程语言基础及编程方法。
2. 实践操作:- 搭建倒计时报警器电路;- 编写倒计时报警器程序;- 调试与优化倒计时报警器系统。
教学大纲安排如下:第一课时:计时器原理及分类,重点介绍倒计时报警器的工作原理和电路构成,让学生了解其应用场景。
第二课时:搭建倒计时报警器电路,指导学生动手实践,培养其动手能力。
第三课时:编程语言基础,使学生掌握编程方法,为编写倒计时报警器程序奠定基础。
第四课时:编写倒计时报警器程序,指导学生独立完成编程任务,提高其编程能力。
目录一、设计任务与要求 (2)二、总体框图 (2)三、选择器件 (3)1.同步预置四位十进制计数器74LS160 (3)2.数码显示器 (4)3.二输入与非门 (5)4.二输入与门 (6)5.非门 (6)6.六输入与门 (6)7. 555定时器 (7)四、功能模块 (9)1.秒脉冲发生器 (9)2.秒、分、时计数器 (9)3.校时电路 (11)4.报时电路 (13)五、总体设计电路图 (15)六、心得与总结 (17)三分钟可编程倒计时报警器一、 设计任务与要求设计任务:三分钟可编程倒计时报警器设计要求:1.具有可编程及报时的功能,并能够随时显示计数结果。
2.设有外部操作开关,控制计数器实现直接置数、启动和清零等操作。
3.计数器可设置为0~3分钟(即180秒)内任意时段的倒计时。
4.计数器递减计数到零时,数码显示管不能灭灯,同时发出持续发光报警信号。
二、 总体框图预置数模块:在开启相应开关(setgw 、setsw 、setbw )后,通过来脉冲计数分别给个位十位百位预置数,使得在启动开关后从此数开始倒计时。
倒计时模块:在启动开关(clk)后,从预置的数开始倒计时,倒计到0时,倒计时结束,此时数码显示管持续不灭灯。
另外,可在任意时刻通过控制摁钮实现清零。
报警模块:每倒计到整十秒时,发出一次报警。
同时,当倒计时结束时会再次发出报警声,以及报警灯亮起。
此时,可通过开关(shutalert )关闭报警灯,报警声,以停止报警。
数码管显示模块:通过对输入信息的判断,选择在哪个数码管上显示哪个图形符号(即数字)。
倒计时模块 数码管显示模块报警模块方案一:预置数部分,可以借助Quartus II 提供的芯片(如:74LS192,74LS190,74LS160等)完成计数功能。
倒计时部分,可以借助Quartus II 提供的芯片(如:74LS192等)完成有借位的减法运算。
但操作较为繁琐,涉及到的元器件较多,涉及到的问题可能会有芯片是否有所损坏使得设计结果不够理想。
方案二:完全用VHDL 语言编写程序下指令,实验数据较为准确,设计结果较为理想,但思考量较大,思维过于复杂。
方案三:将完整的原理图按照功能需求分成几个不同模块,将各个部分在绘制原理图或者用VHDL 语言编写程序后进行编译制成可供使用的模块,再借助各个模块绘制总的原理图。
这样,总原理图清晰明了,各个模块的功能清晰,且设计结果较为理想。
故选择此方案。
三、选择器件EDA 实验箱所使用到的芯片为Altera 公司的Cyclone 系列FPGA 芯片EP1C12Q240C8N 。
外围接口电路为IO5与蜂鸣器接口相连,IO3与IO_CLK 相连,IO9与一个红色发光二极管相连。
四、功能模块模块一:预置数模块。
当遇到上升沿后输出将自动加1。
若输出是9再遇到上升沿时将自动变为0。
符号如右图。
相应程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity count isport(clk:in std_logic;co:out std_logic_vector(3 downto 0));end entity count;architecture fun of count issignal count:std_logic_vector(3 downto 0);beginco<=count;process(clk)beginif(clk'event and clk='1')thenif(count="1001")thencount<="0000";elsecount<=count+1;end if;end if;end process;end fun;其功能仿真图像为:根据图像可知,在每次遇到上升沿后输出将自动加1。
若输出是9再遇到上升沿时将自动变为0。
模块二:倒计时模块。
此模块由个位、十位、百位三部分组成。
个位部分:时钟信号与个位模块相连,当时钟信号给出上升沿时,个位将实现减1的功能,即每次遇到上升沿都将减1,同时借位输出端为低电平,若个位为0时,在遇到上升沿后将自动变为9,同时借位输出端为高电平。
在个位、十位、百位均为0时,无论是否有时钟信号都不再进行减法运算。
当个位置数端拨向高电平时,预置数中的数将给个位置数。
另外,当摁下清零键时,个位将自动清零。
其符号如右图。
相应程序为:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity gww isport(clk,reset,setgw:in std_logic;cogw:in std_logic_vector(3 downto 0);fsw,fgw,fbw:in std_logic_vector(3 downto 0);ensw:out std_logic;daout:out std_logic_vector(3 downto 0);lout:out std_logic);end entity gww;architecture fun of gww issignal count:std_logic_vector(3 downto 0);begindaout<=count;process(clk,reset,setgw,cogw,fgw,fsw,fbw)begin--ensw<=k;if(reset='1')then count<="0000";elsif(setgw='1')then count<=cogw;elsif( fsw="0000"and fbw="0000"and fgw="0000")then count<="0000";lout<='1';elseif(clk'event and clk='1')thenif(count="0000")thencount<="1001";ensw<='1';elsecount<=count-1;ensw<='0';end if;end if;end if;end process;end fun;其功能仿真图像如下。
根据图像可知,当将setgww键置为高电平时,可对个位进行异步置数,使得输出为预置数模块中对个位输出的数(cogw)。
当倒计时到0(fgw,fsw,fbw=’0’)时停止计时,并发送信号lout(用于触发报警)。
其他情况时,当遇到上升沿时立即减1,借位输出端为低电平,如果输出为0,且又遇到上升沿,则输出为9,同时借位输出端产生上升沿,触发十位进行减法运算。
十位部分:十位的上升沿由个位的借位输出端提供。
当遇到上升沿时,十位同时借位输出端为低电平。
若十位为0时,在遇到上升沿后将自动变为9,同时借位输出端产生上升沿,触发百位进行减法运算。
当setsw键拨向高电平时,将实现对十位的置数。
当摁下reset键时,实现对十位的清零。
其符号如左图所示。
以下为该模块相应程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY sww ISPORT(CLK,RESET,setsw:IN STD_LOGIC;cosw:in std_logic_vector(3 downto 0);enbw:OUT STD_LOGIC;DAOUT:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));END ENTITY sww;ARCHITECTURE fun OF sww ISSIGNAL COUNT:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINDAOUT<=COUNT;PROCESS(CLK,RESET,cosw,setsw) BEGINIF(RESET='0')THENCOUNT<="0000";ELSIF(setsw='1')THENcount<=cosw;ELSIF(CLK'EVENT AND CLK='1')THENIF(COUNT="0000")THENcount<="1001";enbw<='1';elsecount<=count-1;enbw<='0';end if;end if;end process;end fun;其相应功能仿真图如下:根据图像可知,当setsw键拨向高电平时,实现对十位的异步置数。
当reset 键为低电平时,实现对十位的异步清零。
其他情况时,当遇到上升沿时立即减1,借位输出端为低电平,如果输出为0,且又遇到上升沿,则输出为9,同时借位输出端为高电平。
百位部分:百位的上升沿由十位的借位输出端提供。
当遇到上升沿时,十位将实现减1的功能,即每次遇到上升沿都将减1,如果输出为0,且又遇到上升沿,则输出为1。
当setbw键拨向高电平时,实现对百位的置数。
当reset键拨向低电平时时,实现对百位的清零。
其符号如右图所示。
相应模块的程序如下:lIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY bww ISPORT(CLK,RESET,setbw:IN STD_LOGIC;cobw:in std_logic_vector(3 downto 0);DAOUT:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));END ENTITY bww;ARCHITECTURE FUN OF bww ISSIGNAL COUNT:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINDAOUT<=COUNT;PROCESS(CLK,RESET,setbw,cobw)BEGINIF(RESET='0')THENCOUNT<="0000";elsif(setbw='1')then count<=cobw;ELSeIF(CLK'EVENT AND CLK='1')THENif (count="0000")then count<="0001";else COUNT<="0000";end if;end if;END IF;END PROCESS;END FUN;相应功能仿真图如下:根据图像可知,当setbw键拨向高电平时,实现对百位的异步置数。