当前位置:文档之家› 分频器

分频器

分频器
分频器

第36卷第2期信息化研究Vo l.36 No. 2 2010年2月I nfo r m a t iza t ion R e s ea r ch Feb. 2010

基于FPGA 的任意数值分频器的设计

周殿凤,康素成,王俊华

(盐城师范学院物理科学与电子技术学院,江苏省盐城市224002 )

摘要:介绍了基于FPG A 的任意分频系数的分频器的设计,该分频器能实现分频系数和占空比均可以调节的3 类分频:整数分频、小数分频和分数分频。所有分频均通过VHDL 语言进行了编译并且给出了仿真图。本设计中的分频器没有竞争冒险,可移植性强,占用的FPG A资源少。本设计在A l2 te ra 公司的Cyc l o neⅡ系列EP2C35 型FPG A芯片中完全可实现,结果表明设计是正确和可行的。由于分频器应用非常广泛,故本设计具有很强的实用价值。

关键词: FPG A; VHDL;分频器

中图分类号: TP277

0 引言

FPG A 作为未来数字系统的 3 大基石( FPG A、D SP、CPU ) 之一, 成为目前硬件设计研究的重点[ 1 ] 。

在数字系统的设计中,设计人员会遇到各种形式的分频需求,如偶数分频、奇数分频、半整数分频、小数分频率的1 / 10。从图1可看出当j = 5 = m/ 2时输出时钟占空比为50 %。

图110分频器波形图

和分数分频等。在某些设计中,系统不仅对频率有要求,而且对占空比也有严格的要求。由计数器或计数器的级联构成各种形式的偶数分频及非等占空比的奇数分频实现起来较为简单, 但对半整数分频及等占空比的奇数分频实现较为困难,小数分频和分数分频更

占空比为50 %的奇数分频实现起来较为困难,实现的方法较多,文中介绍了一种占用资源较少的方法。假设要实现占空比为50 %的m = 2 n+ 1 分频, 选择两个2 n+ 1进制计数器控制两个中间时钟c lk1 和c l k2 , 1 号计数器在输入时钟c l k的上升沿计数, 2 号在c l k 的

困难[ 2 ] 。为了解决这一问题,本文首先分析了各种分下降沿计数。当计数器1 输出为0 ~n - 1 时c l k1 为

频器的设计方法,然后将其综合起来成为一个通用分频器。通过分析分频器的原理,探索出了一套简单明了的设计方法,设计了一个任意数值通用分频器,该分频器没有毛刺且占用的逻辑资源较少。

1 整数分频器

偶数分频器的设计较为简单,可以利用计数器实1 ,输出为n ~2 n 时c l k1为0 且计数器1 输出为 2 n时计数器1清0 , 如此循环下去; 当计数器2输出为0 ~n - 1 时c l k2为1 ,输出为n ~2 n 时c l k2为0且计数器2输出为 2 n时计数器 2 清0 ,如此循环下去。可见2种计数器实现方法一样,只是翻转的边沿不一样,最终输出的时钟c l kou t = c l k1 + c l k2。占空比为50 %的奇数分频仿真图见图2 ,图中m = 9 ,因此是9 分频电路,

现[ 3 ] 。假设要实现m = 2 n分频, 当计数器的值为0 ~通过预置值m 可以非常方便的对分频系数进行设置

j - 1时,输出时钟为 1 ,计数器的值为j~2 n- 1 时,输出时钟为0 ,在计数器值为2 n - 1 时复位计数器,如此循环下去,即可实现占空比为j/2 n的分频, m 和j都是预置数, j用于调节占空比, m 调节分频系数。m 的值不同,分频系数也就不同, 如果预置数m 是奇数则可实现占空比不等于50 %的奇数分频。图1 中m 取值10,故实现的是10 分频, 输出时钟频率是输入时钟频收稿日期: 2009212 220; 修回日期: 2010201

209。

基金项目:江苏省高校自然科学基金资助项目( 08KJD140005) ;盐城师范学院校级基金资助项目( 09YCK L 016) 。而不需要重新编程。

图29分频器波形图

2小数分频器

小数分频器是通过可变分频和多次平均的方法得到的[ 4 25 ] 。假设要进行m . n分频( m 、n都是整数, 且n < 10 ),因为只有一位小数,所以总共要进行1 0次分

·59·

分频次数

累加值

分频系数

1 6 3

2 12 4

3 8 3

4 14 4

5 10 3

6 16 4

7 12 4

8 8 3

9 14 4 10 10 3

n ·技术应用 · 信 息 化 研 究

2010年 2月

频 ,总的规律是进行 n 次 m + 1分频 , 10 - n 次 m 分频 。 begin

假设要进行 .j m n 分频 ( j 、m 、n 都是整数且 m 、n < 10 ) , if c s = ′0 ′then

由于小数是 2 位 ,所以总共要进行 100 次分频 ,分频的 规律是进行 m n 次 j + 1 分频 , 100 - m n 次 j 分频 。不管 是几位小数总要进行两种系数的分频 ,两种分频究竟如 何交叉进行 ,可以根据一定的规律计算出来 ,下面以 3. 6 分频为例进行讲解 。由上面的分析知道 3. 6 分频要进 行 6次 4分频 , 4次 3分频 。将小数部分 6按倍累加 ,假 设累加的值为 a,如果 a < 10则进行 3分频 , a < 10的话 下一次则加上 6,此后 ,如果 a ≥10 则进行 4 分频 , 4 分 频过后再将累加值减去 4后与 10比较以决定下一次分 频是 4分频还是 3分频 ,计算过程见表 1。

表 1 3. 6 分频序列

分频次数

累加值

分频系数

1 6 3

2 12 4

3 8 3

4 14 4

5 10 4

6 6 3

7 12 4 8

8 3 9 14 4

10

10

4

从表 1中看出分频规律是 :首先进行 3 分频 ,然后 进行 4分频 ,接着进行 1次 3分频和 2次 4 分频 ,如此

循环下去 。小数分频通用分频器见图 3。

if c l k ′even t and c l k = ′1′then if en = ′1 ′then / /m 分频

if cn t 1 < m - 1 then cn t 1 < = cn t 1 + 1; e l se cn t 1 < = 0; end if;

if cn t 1 < n1 then c l k t emp < = ′1′; e l se c l k t emp < = ′0 ′; end if;

e l se / /m + 1分频

if cn t 2 < m then cn t 2 < = cn t 2 + 1; e l se cn t 2 < = 0; end if;

if cn t 2 < n2 then c l k t emp < = ′1 ′; e l se c l k t emp < = ′0 ′; end if;

end if; end if; end if; end p r oce s s;

y < = c l k t emp;

3 分数分频器

分数分频器的设计思想与小数分频器类似 。假设

进行 j

分频 ,总分频次数由分母 m 决定 ,规律是进行 m

n 次 j + 1分频和 m - n 次 j 分频 。两种分频交替进行

的计算方法也和小数分频类似 。究竟是进行 j + 1 分 频还是 分j 频就看累加的结果是大于等于分母还是小

6

的分频计算过程见表 2, 可见要进行 6 次

图 3 3. 6分频器波形图

其中 m 表示整数部分 , n 是小数部分 , n 1、n 2 用于 控制占空比 , m 、n 、n 1、n 2可自行设置 。图 3 中 m 、n 的 于分母 。3 11

4分频 , 5次 3 分频 , 满足上面的规律 。分数通用分频

器见图 4, 其中 j 、m 、n 分别取 3、11、6, 故实现了 3 6

分 11

取值分别为 3 和 6 ,故实现 3. 6 分频 。通用小数分频 程序核心代码如下 :

p r oce s s ( c l k t emp ) / /自动调整分频系数和变频

va r iab l e temp: in t eg e r rang e 0 to 20; / /小数累加值 begin

if c l k t emp ′even t and c l k t emp = ′1 ′then temp: = temp + n; / /小数累加

if temp < 10 then en < = ′1′; / /m 分频标识 e l se en < = ′0 ′; / /m + 1分频标识 temp: = temp - 10; end if; end if; end p roce s s; p r oce s s ( c l k ) / /分频

·60·

频 , 参数 n 1、n 2用来调节占空比 。

表 2 3

6

分频序列 11

11

16

4

第 36卷第 2期 周殿凤 ,等 :基于 FPG A 的任意数值分频器的设计 ·

技术应用 ·

图 4 3 6

分频器波形图

11

4 任意数值分频器

加入控制模块就可以将上述 4种分频器集成到一

起 ,变成任意数值分频器 ,顶层原理见图 5。

图 5 任意数值分频器原理图

当输入的二进制数 a = 00时实现偶数和占空比不

等于 50 %的奇数分频 , a = 01 时实现占空比为 50 %的 奇数分频 , a = 10 和 11 时分别实现小数和分数分频 。 其中 m 、j 分别控制整数分频的分频系数和占空比 。小 数分频时 m 、n 分别调整整数部分和小数部分 ; 分数分 频时 j 调整整数部分 , 而 m 、n 分别控制分母和分子值 。 n 1 和 n 2 用于调节分数和小数分频的占空比 。因为有 小数和分数分频 , 所以预置端口较多 , 但是可调性也达

到了最大 。

5 结束语

文中介绍了任意数值分频器的设计 ,可以方便地 对占空比和分频系数进行调节而不需要重新编程 ,整 个程序占用逻辑资源不到 EP2C35 芯片的千分之一 。 本设计有 很 大 的 通 用 性 , 可 以 在 不 同 的 FPG A 甚 至

CPLD 芯片间移植 , 不管对初学者还是程序设计人员

都有一定的参考价值 。

参 考 文 献

[ 1 ] 张静亚. FPG A 系统设计中资源分配的分析和研究 [ J ]. 信

息化研究 , 2009 , 35 ( 3 ) : 37239.

[ 2 ] 周子昂 ,王福源 , 魏军辉. 基于 FPG A 的通 用分频器设计

[ J ]. 微计算机学报 , 2009 , 25 ( 3 22) : 2072209.

[ 3 ] 潘松 , 黄继业. EDA 技术实用教程 [M ]. 北京 : 科 学 出 版

社 , 2006.

[ 4 ] 何攀峰 ,刘亮. Σ - Δ调制小数分频器四模分频控制方法

的分析与实现 [ J ]. 研究与开发 , 2008 , 27 ( 4 ) : 31233.

[ 5 ] 白雪皎. 基于 CPLD 半整数分频器的设计 [ J ]. 长春大学学

报 , 2006, 116 ( 1 ) : 13215.

周殿凤 ( 19782) ,女 ,硕士 ,讲师 ,主要从事电子方面的教学 与研究 。

D e si g n of Un i v ers a l Frequency D i v i der Ba s ed on FPGA

ZH O U D i an fen g , KA N G Suchen g , W A NG Jun hua

( Schoo l of Physi ca l Sc i ence and E l ec t r on i c Techn i que, Yancheng No r m a l U n i ve rsity, Yancheng 224002 , Ch i na )

A b stra c t: The de si gn of any coeffi c i en t frequency d i vi de r ba sed on FPG A is i n tr oduced i n th is p ap e r . T he

frequency d i vi de r wh i ch can rea liz e d iffe ren t du ty cyc l e and random coeffi c i en t is stud i ed i n th is p ap e r . I t i n 2 c l ude s i n tege r frequency d i vi de r and dec i m a l frequency d i vi de r and frac ti o n frequency d i vi de r . D ep e nd i n g on the VHDL rea liz a t i o n of the a l go r ithm the de s i gn ha s h i gh de s i gn fl exi b ility . A n d the ir wavef o r m s a r e gi ven t oo. The d i vi de r ha s no si gna l comp e t iti o n and u s e s l e s s L E . W ha t ′s mo re, it can be ea s il y tran s p l an t ed. Ex 2 p e r i m e n t s and ha r dwa r e te s t da t a on A lte r a EP2C35 ch i p of Cyc l o ne II se r i e s a re gi ven t o show tha t the de s i gn is succe s sfu l . B ecau s e frequency d i vi de r ha s w i de app li ca t i o n s , the de s i gn is ve r y p rac t i ca l .

Keyword s : FPG A ; VHDL; frequency d i vi de r

(上接第 40 页 )

Com para t i v e Study on SP WM and SVP WM i n P M S M Con trol System

ZHA N G P i n g (D e p a r t m e n t of E l ec t r on i c s and I nf o r m a t i o n Engi nee r i ng, J i angyi n Po l ytechn i c Co l l ege, J i angyi n 214405 , Ch i na )

A b stra c t: I n th is p ap e r the con tr o l p ri nc i p l e of p e r m anen t m agne t synch r onou s mo t o r is i n t r o duced, a s we ll a s SP WM and SV P WM a l go rithm . The P M S M con tr o l syste m si m u l a ti o n mode ls ba sed on the s e t w o a l g o 2 rithm s a re se t up and the comp u ted da ta fr om the mode l a re ana l yz ed w ith FFT . The re su lt show s tha t t he t o t a l ha r mon i c d ist o rti o n of sta t o r w i nd i ng cu rren t ba sed on SV P WM is m uch l e ss than tha t ba s ed on SP WM. S o i t i s a b e t te r cho i ce and the SV P WM a l go r ithm is u s ed i n P M S M d i gita l con t r o l syste m .

Keyword s : p e r m a nen t m a gne t synch r onou s mo t o r ; si ne p u l se w i d t h modu l a t i o n; sp a ce vec t o r p u lse w i d t h modu l a t i o n; t o t a l ha r mon i c d ist o r ti o n

·61·

分频器

第36卷第2期信息化研究Vo l.36 No. 2 2010年2月I nfo r m a t iza t ion R e s ea r ch Feb. 2010 基于FPGA 的任意数值分频器的设计 周殿凤,康素成,王俊华 (盐城师范学院物理科学与电子技术学院,江苏省盐城市224002 ) 摘要:介绍了基于FPG A 的任意分频系数的分频器的设计,该分频器能实现分频系数和占空比均可以调节的3 类分频:整数分频、小数分频和分数分频。所有分频均通过VHDL 语言进行了编译并且给出了仿真图。本设计中的分频器没有竞争冒险,可移植性强,占用的FPG A资源少。本设计在A l2 te ra 公司的Cyc l o neⅡ系列EP2C35 型FPG A芯片中完全可实现,结果表明设计是正确和可行的。由于分频器应用非常广泛,故本设计具有很强的实用价值。 关键词: FPG A; VHDL;分频器 中图分类号: TP277 0 引言 FPG A 作为未来数字系统的 3 大基石( FPG A、D SP、CPU ) 之一, 成为目前硬件设计研究的重点[ 1 ] 。 在数字系统的设计中,设计人员会遇到各种形式的分频需求,如偶数分频、奇数分频、半整数分频、小数分频率的1 / 10。从图1可看出当j = 5 = m/ 2时输出时钟占空比为50 %。 图110分频器波形图 和分数分频等。在某些设计中,系统不仅对频率有要求,而且对占空比也有严格的要求。由计数器或计数器的级联构成各种形式的偶数分频及非等占空比的奇数分频实现起来较为简单, 但对半整数分频及等占空比的奇数分频实现较为困难,小数分频和分数分频更 占空比为50 %的奇数分频实现起来较为困难,实现的方法较多,文中介绍了一种占用资源较少的方法。假设要实现占空比为50 %的m = 2 n+ 1 分频, 选择两个2 n+ 1进制计数器控制两个中间时钟c lk1 和c l k2 , 1 号计数器在输入时钟c l k的上升沿计数, 2 号在c l k 的 困难[ 2 ] 。为了解决这一问题,本文首先分析了各种分下降沿计数。当计数器1 输出为0 ~n - 1 时c l k1 为 频器的设计方法,然后将其综合起来成为一个通用分频器。通过分析分频器的原理,探索出了一套简单明了的设计方法,设计了一个任意数值通用分频器,该分频器没有毛刺且占用的逻辑资源较少。 1 整数分频器 偶数分频器的设计较为简单,可以利用计数器实1 ,输出为n ~2 n 时c l k1为0 且计数器1 输出为 2 n时计数器1清0 , 如此循环下去; 当计数器2输出为0 ~n - 1 时c l k2为1 ,输出为n ~2 n 时c l k2为0且计数器2输出为 2 n时计数器 2 清0 ,如此循环下去。可见2种计数器实现方法一样,只是翻转的边沿不一样,最终输出的时钟c l kou t = c l k1 + c l k2。占空比为50 %的奇数分频仿真图见图2 ,图中m = 9 ,因此是9 分频电路, 现[ 3 ] 。假设要实现m = 2 n分频, 当计数器的值为0 ~通过预置值m 可以非常方便的对分频系数进行设置 j - 1时,输出时钟为 1 ,计数器的值为j~2 n- 1 时,输出时钟为0 ,在计数器值为2 n - 1 时复位计数器,如此循环下去,即可实现占空比为j/2 n的分频, m 和j都是预置数, j用于调节占空比, m 调节分频系数。m 的值不同,分频系数也就不同, 如果预置数m 是奇数则可实现占空比不等于50 %的奇数分频。图1 中m 取值10,故实现的是10 分频, 输出时钟频率是输入时钟频收稿日期: 2009212 220; 修回日期: 2010201 209。 基金项目:江苏省高校自然科学基金资助项目( 08KJD140005) ;盐城师范学院校级基金资助项目( 09YCK L 016) 。而不需要重新编程。 图29分频器波形图 2小数分频器 小数分频器是通过可变分频和多次平均的方法得到的[ 4 25 ] 。假设要进行m . n分频( m 、n都是整数, 且n < 10 ),因为只有一位小数,所以总共要进行1 0次分 ·59·

电子分频器要注意的几点问题及故障排除

电子分频器要注意的几点问题及故障排除网络摘编 电子分频器: 电子分频器的主要功能当然就是给不同的音箱分配好不同的工作频率了,当然还有保护音箱的功能,下面说下调整电子分频器时需要注意的几点问题及故障排除: 1、分频点: 在一个2分频的音响系统中,一般情况下分频点放在130Hz附近比较合适,但很多情况下,对分频点的调整实际上不是取决于低音音箱,而是要看中高音或全频音箱。因为低音音箱在300Hz以下工作都可以,但有些中高音和全频音箱由于扬声器口径太小,动态范围不够大,必须在200Hz以上工作才能保证它们的安全,如果此时分频点分在130Hz附近,那么这些中高音音箱工作起来就很危险了,因此在效果和安全当中还是要找一个平衡点。我觉得双15寸的全频主音箱最好不要经过电子分频器;单15寸的主音箱可灵活运用;而单12寸以下的主音箱最好要通过电子分频器,至少在180Hz以上工作才安全。 2、音量控制: 不管是输入电平还是输出电平,调整的时候都要有一个度,不要开的太大。如果是电子分频器上的各个音量旋钮都开到很大了,系统的声压还不够,那就要调整电子分频器前面设备的信号电平或者调整电子分频器下面功放的电平和音量开关了。 3、×10按钮: 有一些电子分频器上有一个: ×10的按钮,大家注意不要轻易按下它。 例如我们的分频点调整在200Hz的话,按下此按钮200×10就变成2000Hz 了,因此除非是需要,否则一般不要按下此按钮。

4、低音模式: 有些电子分频器后面板有一个低音模式的选择,它可以把2路立体声信号混合成1路单声道信号,这样可以减少低音音箱之间的声干涉。大家可以适当利用下。 当然要是低音分频点分的较高,那么低音音箱发出的声音就会有一定的指向性了,此时还是要在2路立体声信号的状态下工作较好。 5、立体声工作模式和单声道工作模式: 目前我们使用的大多数电子分频器都是2分频的居多,考虑到灵活性和多功能性,这些电子分频器的后面板一般会有一个立体声和单声道的工作模式转换开关,如果把此开关放在单声道工作模式下,那么此时这台电子分频器就从一台双通道2分频的电子分频器变成了一台单通道3分频的电子分频器了。因此除非必要,否则不要轻易转换此工作开关,要不然电子分频器后面信号输出口所输出的频率信号就会大不一样了!轻者恶化了音质,重者还会损坏设备! 6、系统中低音信号的输出和中高音信号的输出一定不要搞混了,否则高音信号给了低音音箱,低音信号给了高音音箱,那样南辕北辙的做法音响系统中就真的没有声音出来了,因为频率不对呀!搞不好还会烧坏音箱呢! 电子分频器故障例子: 1、05年朋友在长沙做了一个大型的酒吧,音响系统中共使用了单12寸全频主音箱16只,双18寸重低音音箱22只,还有其它20多只辅助音箱。但开业几天后发现主音箱的单12寸的喇叭坏了2只,开始那里的技术人员以为是正常损坏,更换了2只新的喇叭了事,但后来一个星期内陆陆续续的又坏了6只12寸的全频喇叭,这样就很不正常了,而且除了12寸主音箱发生故障外别的音箱都没有问题。后来我去帮忙检查了下系统,发现那里的电子分频器分的频率太低,我把分频器的分频点从130Hz调高到了230Hz,这样问题就解决了,而且低音效果也比以前好了很多。其实道理很简单: 这个系统中由于要兼顾人声演出,所以采用了对人声表现较好的12寸全频主音箱,开始时电子分频器的分频点在130Hz,这是什么概念呢?就是说系统中

分频器设计实验报告

竭诚为您提供优质文档/双击可除分频器设计实验报告 篇一:n分频器分析与设计 一、实验目的 掌握74190/74191计数器的功能,设计可编程计数器和n分频器,设计(n-1/2)计数器、分频器。 二、实验原理 分频是对输入信号频率分频。1、cD4017逻辑功能 2、74190/74191逻辑功能 3、集成计数器级联 当所需计数器模数超过所选计数器最大计数状态时,需要采取多片计数器级联。方法分为异步级联和同步级联。4、集成计数器的编程 在集成计数器的时序基础上,外加逻辑门电路等,反馈集成计数器的附加功能端,达到改变计数器时序的目的。可采用复位编程和置数编程两种。5、多片74190/74191计数器级联 可根据具体计数需求和增减需求,选用74190或74191,

选择不同功能、同步或异步设计等。 6、74190/74191计数器编程 由于没有复位端,因此只能使用置数编程,置数端置为0即可异步置数。可根据需求设计n进制加法或减法计数器。 n与译码逻辑功能如下。 7、74191组成(n-1/2)分频器电路如下图: u3 计数器的两个循环中,一个循环在cp的上升沿翻转;另一个是在cp的下降沿翻转,使计数器的进制减少1/2,达到(n-1/2)分频。 三、实验仪器 1、直流稳压电源1台 2、信号发生器1台 3、数字万用表1台 4、实验箱1台 5、示波器1台 四、仿真过程 1、按照cD4017和74191功能表验证其功能。 2、74191组成可编程计数器 (1)构成8421bcD十进制加法计数器,通过实验验证正确性,列出时序表。设计图如下 仿真波形如下 (2)构成8421bcD十进制减法计数器,通过实验验证正确性,列出时序表。设计图如下: 仿真波形如下

VHDL数字系统课程设计报告-5分频器的设计

安康学院HDL数字系统课程设计报告书 课题名称:占空比为1:1的奇数分频器设计 姓名: 学号: 院系: 专业: 指导教师: 时间:

课程设计项目成绩评定表设计项目成绩评定表

课程设计报告书目录 设计报告书目录 一、设计目的 (1) 二、设计思路 (1) 三、设计过程 (1) 3.1、系统方案论证 (1) 3.2、程序代码设计 (2) 四、系统调试与结果 (4) 五、主要元器件与设备 (5) 六、课程设计体会与建议 (5) 6.1、设计体会 (5) 6.2、设计建议 (6) 七、参考文献 (6)

一、设计目的 1、了解EDA软件在电子设计当中的重要作用。 2、熟悉并掌握QuartusⅡ开发软件的基本使用方法。 3、运用ModelSim软件对分频器进行仿真测试。 二、设计思路 对于实现一个占空比为1:1的5倍奇数分频,首先经过上升沿触发进行模5计数,计数选定到2进行输出时钟翻转,然后经过4再次进行翻转得到一个占空非1:1奇数5分频时钟。再者同时进行下降沿触发的模5计数,到和上升沿触发输出时钟翻转选定值相同值时,进行输出时钟翻转,同样经过4时,输出时钟再次翻转得到占空比非1:1的5分频时钟。两个占空比非1:1的5分频时钟进行相或运算,得到占空比为1:1的5分频时钟。 要设计占空比为50%的奇数(n)倍分频器,可以先分别设计从时钟上升沿、下降沿开始的占空比为(n-1)/2n的分频器A,B。将A与B相或结果就是占空比为50%的奇数倍分频器。因为A,B产生的信号的高电平持续时间均比低电平持续时间少一个时钟周期,B相对A来说可以说是延时了半个时钟,那么A与B进行或运算,则结果的高电平持续时间增加了半个时钟周期,而低电平持续时间则减少了半个时钟周期。因此占空比达到50%。 三、设计过程 3.1系统方案论证 奇数倍(2N+1)分频: (1)使用模为2N+1的计数器,让输出时钟在X-1(X在0到2N-1之间)和2N时各翻转一次,则可得到奇数分频器,但是占空比并不是50%(应为 X/(2N+1))。得到占空比为50%的奇数分频器的基本思想是:将得到的上升沿触发计数的奇数分频输出信号CLK1,和得到的下降沿触发计数的相同(时钟翻转值相同)奇数分频输出信号CLK2,进行相或运算。如图1所示. 图 2

音响分频器原理

音响分频器原理 音箱分频器原理浅释【二分频器样品展示】 首先大家要明白如下道理: 电容器:当电容器两端加载电压的时候,两端就会感应并存储电荷,所以电容器是一个临时的储存电能的器件,当电容器两端电压变化很快的时候【即高频】,由于电压变化太快导致两端感应电荷也同步地变化,也就等效于有电流流过电容器,而当频率很低的时候,电容器两端电压变化很慢,近似没有电流流过。所以说电容器是阻低频通高频的。 线圈:当有电流通过的时候,如果电流的大小和方向发生变化,线圈会产生感应电动势【电压】,它与原来的电压方向相反,即线圈是阻碍变化的电流通过的,当电流变化很快的时候,线圈产生的负电压会很大【根据公式伏电压和频率成正比】,所以线圈是阻高频通低频的。【因为频率很低的时候近似负电压很低或为0,即可以让低频电流顺利通过】

所以音箱分频器采用了上图结构,具体分析: 连接高音喇叭的电路:让电流先流过电容器,阻止低频,让高频通过,并且喇叭与一个线圈并联,让线圈产生负电压,那么这个电压对于高音喇叭来说正好是一个电压补偿,于是可以近似地逼真还原声音电流。 连接低音喇叭电路:电流先流过线圈,这样高频部分被阻止,而低频段由于线圈基本没有阻碍作用而顺利通过,同样,低音喇叭并联了一个电容器,就是利用电容器在高频的时候产生一个电压来补偿损失的电压,道理和高音喇叭端是一样的。 可以看出,分频器充分利用的电容器和线圈的特性达到分频。但是,线圈和电容器在各自阻碍的频率段内终究还是消耗了电压的,所以电路分频器会损失一定的声音,其补偿措施也有很多,由于笔者知识不够,难以说的很清楚。而电子分频就解决了这个问题,当声音输入到功放之前就先分频,然后对不同的频段使用专门的放大电路进行放大,这样的话声音失真小,还原逼真。但是电路复杂,造价昂贵。 下面是一个常用的电路分频器: 下面我们再看两个二分频器:

音响方面的一些小知识

收集整理的一些小知识: 音源:音源就是提供声音的来源,就是因为音源本身信号较微弱,而要满足人们需要的响度就需要进行扩大声音,所以才有扩声系统的出现。一般音源有CD ,DVD,VCD,话筒拾取的信号等等, 调音台:英文(MIXER/MIXING),是专业音响系统中必不可少的设备,它主要起着四个作用:1,信号混合,2信号分配。3信号处理(也就是所谓调音),4信号放大。它在系统中主要起将小电压信号进行放到大我们需求的电平大小,然后将多路信号进行任意分配再进行混合输出(也就是矩阵结构)。尤其是放大这里,本人看过一些资料,有一个形象的比喻很贴切,就是增益这里就好像一条河一样,当河中的水比较浅时,这样水在通过河时就容易将河中的泥沙一起带走冲走,如果河中的水较深时,河水通过河道时就比较清澈见底,同样泥沙也就沉底了,没有被河水一同冲走,流走。这里的泥沙就是输入通道或其它的噪声,而河水就是我们处理的信号。所以增益的作用也就提高了系统的信噪比,降低了系统的噪声。还有可以将信号源进行音色方面的调整,也就是快速简单的调音工作就是在调音台上的参量EQ进行的。在系统中就好像是一个加工工厂一样。 均衡器:英文(EQ),EQ分两种,一种为图示均衡器(GEQ),另一种为参量均衡器(PEQ)。均衡器就是将全频段信号分为多个小频段,再分别对以一个频点为中心频率地对频段进行相应的提升和衰减,也就是GAIN。在系统中主要起对声场中的不均匀(声场中频率的传输特性引起的)各种缺陷进行补偿,使声场达到频响平坦和均匀。还可对音响设备(如音箱)自身的频响性能进行补偿与校正及对节目源的音色调节。另外在扩声系统中对啸叫的控制也是EQ的作用,如舞台返听用的EQ就是此作用。它在系统中把它称为频率处理设备。 压限器:英文(COMP/LIMITER),又称为压缩限幅器(COMP)。将压限器串接在系统中EQ之前,主要起着对节目信号的压缩作用,此时称为压缩器。对节目中突发的强信号进行按照一定比例进行压缩输出,还可以使整个节目的音量保持平稳(比如话筒离嘴的距离会不一样,这样就造成节目信号电平大小不一)。另一个作用就是调节节目的软硬效果(如迪厅,舞厅等)使节目更具振憾感。软硬效果的调节需要结合压缩器的压缩比,起控时间及释放时间的结合调节才能达到各种意想不到的效果。它在音响系统中主要称为动态处理设备。

分频器设计_可控型

现代科技的发展对信号源提出了越来越高的要求,要求信号源的频带宽、频率分辨率高、频率稳定度高、相位噪声和杂散很低、能程控等.频率合成技术是产生大量高精度频率信号的主要技术,频率合成器是一种相位锁定装置,是通讯、雷达、仪器仪表、高速计算机和导航设备中的一个重要组成部分。频率合成器是可由一个工作范围在G地范围的锁相环构成.在高频范围内工作的锁相环是整个系统中功耗最大的部分之一,因此对锁相环的低功耗研究对降低整个系统的功率损耗有着重要的意义.分数分频频率合成器则是近年来出现的一种新技术,它与传统的整数分频频率合成器相比具有频率分辨率高、相位噪声低等优点。前置分频器位于高频锁相环的反馈部分.由于工作频率很高,前置分频器也是锁相环中功耗最大的部分之一。低功耗的前置分频器设计可以很大程度上降低整个锁相环的功率损耗. 目录 摘要 ................................................................................................................ 错误!未定义书签。Abstract ........................................................................................................... 错误!未定义书签。目录 .. (1) 引言 (1) 一、分频器设计 (2) 1.1、分频器的系统介绍 (2) 1.2、前置放大器的设计 (3) 二、前置分频器单元结构 (3) 2.1、TSPC结构 (3) 2.2、传统结构 (4) 2.3、转换器 (5) 三、小数分频器中预分频器的设计 (5) 3.1、小数分频器相位杂散的分析 (5) 3.2、可编程预分频器结构 (6) 结论 (6) 参考文献 (8) 引言 所谓频率合成,又称频率综合,简称频综,是由一个(或几个)具有低相位噪

数电课程设计报告数字钟的设计

数电课程设计报告数字钟的设计

数电课程设计报告 第一章设计背景与要求 设计要求 第二章系统概述 2.1设计思想与方案选择 2.2各功能块的组成 2.3工作原理 第三章单元电路设计与分析 3.1各单元电路的选择 3.2设计及工作原理分析 第四章电路的组构与调试 4.1遇到的主要问题 4.2现象记录及原因分析 4.3解决措施及效果 4.4功能的测试方法,步骤,记录的数据 第五章结束语 5.1对设计题目的结论性意见及进一步改进的意向说明5.2总结设计的收获与体会 附图(电路总图及各个模块详图) 参考文献

第一章设计背景与要求 一.设计背景与要求 在公共场所,例如车站、码头,准确的时间显得特别重要,否则很有可能给外出办事即旅行袋来麻烦。数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确度和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。数字钟是一种典型的数字电路,包括了组合逻辑电路和时序电路。 设计一个简易数字钟,具有整点报时和校时功能。 (1)以四位LED数码管显示时、分,时为二十四进制。 (2)时、分显示数字之间以小数点间隔,小数点以1Hz频率、50%占空比的亮、灭规律表示秒计时。 (3)整点报时采用蜂鸣器实现。每当整点前控制蜂鸣器以低频鸣响4次,响1s、停1s,直到整点前一秒以高频响1s,整点时结束。 (4)才用两个按键分别控制“校时”或“校分”。按下校时键时,是显示值以0~23循环变化;按下“校分”键时,分显示值以0~59循环变化,但时显示值不能变化。 二.设计要求 电子技术是一门实践性很强的课程,加强工程训练,特别是技能的培养,对于培养学生的素质和能力具有十分重要的作用。在电子信息类本科教学中,课程设计是一个重要的实践环节,它包括选

限幅器的作用

什么是限幅器 微波限幅器是一种自控衰减器, 是一种功率调制器件。当信号输入功率较小时无衰减通过,当输入功率增大到超过某一值时,衰减会迅速增大,这一功率值称为门限电平,输入功率超过门限电平后,输出功率不再增加。实际工作中,限幅器基本上都是为整机需要而专门设计的。常用于微波扫频信号源或相位检测系统中,使输出信号幅度保持稳定。功率限幅器设计用于通信、遥感、雷达系统和高频仪器领域电子元件的输入保护。它针对不同的工作频率、需承受的微波功率、微波脉冲宽度、占空比等要求进行设计.微波限幅器通常用在接收机的放大器或混频器的前面保护它们,免受强信号的影响而烧毁。在扫频仪或测相系统中可使输出幅度保持恒定。限幅器一般由输入端口和输出端口上的隔直流电容器和集成式二极管限幅器电路组成。集成电路包含着透过50欧姆传输线并联的平面掺杂阻挡层(PDB)或Schottky二极管。限幅器在低输入电平时有很低的插入损耗和线性特性,可提供对瞬态或短时间过载的保护。它们有很低的插入损耗和回波损耗,可为您的设备提供安全保护,避免因过大射频功率、直流电压瞬变或静电放电(ESD)导致损坏。 微波限幅器主要参数定义 1.限幅电平:限幅器开始限幅时的功率值。 2.插入损耗:输入电平低于门限电平时输入信号损耗,一般在-10dBm 下测试。 3.承受功率:能承受的最大输入功率(脉冲功率,脉冲平均功率,连续波功率)。 4.恢复时间:以输入脉冲终止开始,到限幅器损耗比插入损耗大3dB为止的时间。

限幅器原理是什么? 理想限幅器是一个无记忆的非线性电路。理想限幅器应具有放大和限幅的双重功能,且要求其放大量为无穷大、限幅是瞬时的。通常限幅器是由非线性限幅器件和一个带通滤波器组成,调频波通过它时,首先由非线性器件将其超过限幅电平E的那部分幅度切去,然后经带通滤波器滤出其基波分量,以使输出电压的频率仍和输入的频率一致。实际设计中,我们采用在一个近似中频带宽的限幅器中加入适量的正反馈,就能够明显地改善它的削弱比,起到几级无正反馈但其它结构相同的限幅器的作用。 限幅器常用在接收设备的前级,对超过门限的大功率输入信号限幅,起到保护后级敏感电路和器件的作用。限幅器的峰值输入功率是在脉冲调制占空比为1%(脉宽10μS,6GHz 以下;脉宽1μS,6GHz 以上)的条件下测试的结果。插损和驻波比是在输入连续波功率-10dBm的条件下测试的结果。 压缩/限幅器的调整及应用 人类的听感动态范围能承受的最大响度和能感受的最安静声音响度的范围可达100万:1(即106倍)听感的动态范围达120dB。扩声系统声音重放的动态范围由于受电子设备的限制,远比人耳的动态范围小很多。最低声音的响受系统中不相关噪声的限制,使小的声音信号淹没在噪声中而无法听到;最大声音的响度受信号削波的限制,使音乐信号中的特大峰值被“砍头”(削波),不仅

一文解析主动分频器和被动分频器的优缺点

一文解析主动分频器和被动分频器的优缺点 汽车音响简单的来说区分主动和被动的区别在于分频处理在功率放大之前或之后,分频在功率放大之前的称为主动,而在功放的输出端进行分频处理的称为被动分频。主动和被动都有各自的优点,声音也都有自己的优点和缺点,需要根据自己需要做选择,而不是听别人说主动好就去玩主动。下面是这两种分频的优缺点。 本文首先介绍了分频器的分类及电子分频器的工作原理,其次介绍了主动分频器的优缺点,最后介绍了被动分频器的优缺点,具体的跟随小编一起来了解一下。 分频器的分类分频器有两大类:一类是被动分频器(PassiVe Crossover),亦称功率分频器;另一类是主动分频器(Active Crossover),亦称电子分频器。 主动分频器的工作原理(电子分频器)电子分频器是利用各类有源滤波器(高通、低通、带通)将全频域的音频信号分成不同的频段,有源滤波器是由无源RC滤波网络和由运算放大器接成的跟随器组成,衰减特性随着RC阶数的增加而增强(每增加一阶,衰减斜率增加-6db/out)。 电子分频方式使功率放大器与扬声器之间只有功率传输线。而没有影响音质的其他环节,从而降低了失真,提高了功率放大器对扬声器的阻尼系数,由于电子分频器的负载是功率放大器的输入,阻抗高而且稳定,所以能很容易的调整分频点和控制分频精度,而且由于电子分频器的每一频段的带宽较窄,使非线性畸变引起的高次谐波受到抑制,降低总谐波失真和互调失真,电子分频器克服了功率分频器中存在的缺点,但增加了成本和系统调试的难度,因此多被用于专业场合。 1、二分频电子分频器的工作原理图 7-32所示为采用滤波运算综合法的二分频电子分频器框图,其基本原理是用一组高通或低通滤波器滤除相应频段的信号,然后将此信号反相后,与全屏输入信号相加,得到另一频段的信号。

基于VHDL的分频器设计[开题报告]

开题报告 电子信息工程 基于VHDL的分频器设计

三、课题研究的方法及措施 由于本课题所设计的分频器基于EDA技术,应用VHDL硬件语言设计完成的,因此选择合适的硬件解决原理对分频器性能至关重要的,为了满足不同系统功能需求的分频,本课题将阐述不同原理,不同分频器,同种分频不同原理的设计方案。 占空比可控的整数分设计方案,原理为计数器为带预置数的计数器,其设计的特殊之处在于:可以根据需要,调整数据的位宽,而且计数的初始值是从l开始的,此处计数初始值的设定是设计的一个创新,这样做的目的是为了配合后面比较器的工作,计数器的输出数据作为比较器的输入,比较器的另一输入作为控制端,控制高低电平的比例,从而达到占空比可调的目的。原理图如图1所示。 图1 占空比可控的原理图部分 小数分频的基本原理是采用脉冲吞吐计数器和锁相环技术先设计两个不同分频比的整数分频器,然后通过控制单位时间内两种分频比出现的不同次数来获得所需要的小数分频值。如设计一个分频系数为lO.1的分频器时。可以将分频器设计成9次10分频,1次11分频这样总的分频值为如式1所示。 F=(9×10+lxl 1)/(9+1)=10.1 (式1) 从这种实现方法的特点可以看出,由于分频器的分频值不断改变.因此分频后得到的信号抖动较大。当分频系数为N-0.5(N为整数)时,可控制扣除脉冲的时间,以使输出成为一个稳定的脉冲频率。而不是一次N分频.一次N-1分频。图2给出了通用小数分频器的电路组成。 图2通用小数分频器的电路组成 改进的小数分频设计方案,将两个整数分频器由一个整数分频器和一个半整数分频器代替,结果在如上分析的两个性能方面都有所提高。利用参数化的设计思想和VHDL描述语言与原理图输入方法相结合的方式,设计并实现了一种抖

VHDL非整数分频器设计实验报告

非整数分频器设计 一、 输入文件 输入时钟CLK: IN STD_LOGIC 二、 设计思路 1. 方法一:分频比交错 (1) 确定K 值 先根据学号S N 确定M 和N :为了保证同学们的学号都不相同,取学号的后四位,即N S =1763 ()mod 1920(mod 17)0 17mod 17 S S S N N if N then M else M N =+=== 由以上公式,得N=(1763 mod 19)+20=35 M=(1763 mod 17)=12 然后根据下式计算分频比K 的值: 8()9N M M K N -+= = =8.34285714 (2) 确定交错规律 使在35分频的一个循环内,进行12次9分频和23次8分频,这样,输出F_OUT 平均为F_IN 的8.34285714分频。为使分频输出信号的占空比尽可能均匀,8分频和9分频应‘交替’进 (3) 设计框图:要求同步时序设计

(4)代码 在实体内定义两个进程(PROCESS P1和PROCESS P2),一个进程控制输出8/9分频,一个进程控制35分频周期比例输出。控制器输出FS_CTL信号控制输出是8分频还是9分频,分频器输出C_ENB信号来控制35分频计数器计数。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; ENTITY DIV IS--定义实体,实体名DIV PORT(F_IN: IN STD_LOGIC;--输入时钟信号 F_OUT: OUT STD_LOGIC--输出时钟信号 ); END DIV; ARCHITECTURE A OF DIV IS SIGNAL CN1: INTEGER RANGE 0 TO 7;--8分频计数器 SIGNAL CN2: INTEGER RANGE 0 TO 8;--9分频计数器 SIGNAL CN: INTEGER RANGE 0 TO 34;--整体计数器 SIGNAL C_ENB: STD_LOGIC;--整体计数器时钟驱动信号 SIGNAL FS_CTL: STD_LOGIC;--控制8、9分频比例信号,高电平8分频,低电平9分频 BEGIN P1:PROCESS(F_IN)--8、9分频计数进程 BEGIN IF (F_IN'EVENT AND F_IN='1') THEN IF(FS_CTL='0') THEN--9分频 IF CN2=8 THEN--计数 CN2<=0; ELSE CN2<=CN2+1; END IF; IF CN2>4 THEN--控制输出,占空比0.5 F_OUT<='1'; ELSE F_OUT<='0'; END IF; IF CN2=8 THEN--控制整体计数器驱动信号 C_ENB<='1'; ELSE C_ENB<='0'; END IF; ELSE IF CN1=7 THEN--8分频计数,同上 CN1<=0; ELSE CN1<=CN1+1; END IF; IF CN1>3 THEN F_OUT<='1'; ELSE F_OUT<='0'; END IF; IF CN1=7 THEN C_ENB<='1'; ELSE C_ENB<='0'; END IF; END IF; END IF; END PROCESS P1; P2:PROCESS(C_ENB)--整体计数进程 BEGIN IF (C_ENB'EVENT AND C_ENB='1') THEN--由驱动信号驱动 IF CN=34 THEN--计数 CN<=0; ELSE CN<=CN+1; END IF; IF (CN=34 OR CN=2 OR CN=5 OR CN=8 OR CN=11 OR CN=14 OR CN=17 OR CN=20 OR CN=23 OR CN=26 OR CN=29 OR CN=32) THEN FS_CTL<='0'; ELSE FS_CTL<='1'; END IF;--8、9分频比例分配 ELSE CN<=CN; END IF; END PROCESS P2; END A;

音响系统的组成设备的用途与认知

音响系统的组成设备的用途与认知 音响指除了人的语言、音乐之外的其他声响,包括自然环境的声响、动物的声音、机器工具的音响、人的动作发出的各种声音等。 音响系统的组成 音响系统由监听调音台;功放调音台;便携式调音台;功率放大器;动圈话筒;电容话筒;无线话筒;音箱;监听音箱;功放音箱;超低音箱;均衡器;混响器;效果器;延时器;压缩器;限幅器;分音器;噪声门;激光唱机;录音卡座;影碟机;投影机;变调器;点歌器;耳机等众多设备组成。 扬声器有多种分类式:按其换能方式可分为电动式、电磁式、压电式、数字式等多种;按振膜结构可分为单纸盆、复合纸盆、复合号筒、同轴等多种;按振膜开头可分为锥盆式、球顶式、平板式、带式等多种;按重放频可分为高频、中频、低频和全频带扬声器;按磁路形式可分为外磁式、内磁式、双磁路式和屏蔽式等多种;按磁路性质可分为铁氧体磁体、钕硼磁体、铝镍钴磁体扬声器;按振膜材料可分纸质和非纸盆扬声器等。 箱体用来消除扬声器单元的声短路,抑制其声共振,拓宽其频响范围,减少失真。音箱的箱体外形结构有书架式和落地式之分,还有立式和卧式之分。箱体内部结构又有密闭式、倒相式、带通式、空纸盆式、迷宫式、对称驱动式和号筒式等多种形式,使用最多的是密闭式、倒相式和带通式。 分频器有功率分频和电子分频器的区别,两者主要作用都是频带分割、幅频特性与相频特性校正、阻抗补偿与衰减等作用。功率分频器又叫无源式后级分频器,是在功率功放之后进行分频的。它主要由电感、电阻、电容等无源组件组成滤波器网络,把各频段的音频信号分别送到相应频段的扬声器中去重放。它的特点就是成本低,结构简单,适合业余之作,缺点就是插入损耗大,效率低,瞬态特性较差。 功放俗称“扩音机”他的作用就是把来自音源或前级放大器的弱信号放大,推动音箱放声。一套良好的音响系统功放的作用功不可没。功放大体上可分为三大类“专业功放”“民用功放”“特殊功放”。 麦克风的作用就是把声音转接化成音频,在通过音箱播放出来,有录音,把声音放大的作用。话筒的种类:话筒按其结构不同,一般分为动圈式、晶体式、炭粒式、铝带式和电容式等数种,其中最常用的是动圈式话筒和电容式话筒,前者耐用、便宜,后者娇嫩、价格高、但特性优良 凡是对再现声进行种种放大和加工处理的设备均为音响设备。它们有如下的类别: 艺术加工类:包括调音台、混音器等。 音质补偿类:包括均衡器、激励器等。 动态处理类:包括压缩器、限制器、扩展器、噪声门、自动增益控制器等。 声音美化类:包括各种效果机。 扩大还音类:包括功率放大器、音箱、耳机、电子分频器等。音响指除了人的语言、音乐之外的其他声响,包括自然环境的声响、动物的声音、机器工具的音响、人的动作发出的各种声音等。音响大概包括功放、周边设备(包括压限器、均衡器、延时器激励器混响器放大器分配器处理器效果器VCD、DVD等)、扬声器(音箱、喇叭)调音台、麦克风、显示设备等等加起来一套。其中,音箱就是声音输出设备、喇叭、低音炮等等。一个音箱里包括高、低、中三种扬声器,三种但不一定就三个。音源类:包括有线传声器、无线传声器、卡座、电唱机、CD机、VCD/LD/DVD机、录象机、电子乐器等。

什么是主动和被动汽车音响电子分频器

什么是主动和被动汽车音响电子分频器 所谓电子分频,就是将分频电路提至放大电路之前的电路拓扑设计,就这么简单。 电子分频不是什么新技术,应用于舞台专业音响器材已很普遍。由于电子分频时信号功率很小,很容易把频率精确分开,完全可以根据喇叭单元的特性进行分频,最大限度发挥喇叭单元的特性,得到最平直、最满意的听音曲线 什么是主动和被动汽车音响电子分频器?为了真实地重放各种节目信号,要求喇叭有尽可能宽和平坦的频率响应,即使从HI-FI用是最低低放频响要求来说,喇叭的重放频率范围也必须达到50~12500HZ。事实上,由于受扬场器结构以及制作工艺的客观限制,目前任何一种扬声器都无法在如此宽的频率范围内很好的工作。每一种扬声器都有它的有效频率范围,只能在一个较窄的范围内很好地工作,倘若扬声器的实际工作频率超出它的有效频率范围,扬声器的失真和指向性指标将明显变坏。为此,人们制造出了适用于不同频率范围的扬声器,如低频扬声器、中频扬声器、高频扬声器等等。 这样,人耳能听到的20HZ~20KHZ这个频率范围的声音就是分别通过低频扬声器、中频扬声器、高频扬声器来分别发出。但是并不能直接把这两种扬声器并联在一起,必需要有一种器件来做一个“频率分配工作”,分频器应运而生,分频器的作用就是把20~20KHZ 的全频带信号分割成不同的频段,再分配到相应的喇叭发声。 当我们在安装汽车音响套装喇叭时,经常会接触到一个器件——电子分频器。它时而被我们隐藏在仪表台下方,时而又被我们藏在车门内饰板内。可能有些朋友便会好奇,这个电子分频器到底是干什么用的?没有电子分频器可以吗?对于大家的疑问,结合各方资料,就简单给大家介绍一下电子分频器! 什么是电子分频器: 从字面上理解,电子分频器的意思就是“区分频率的器材”,其实科学原理也是如此,将音频的弱信号进行分频,然后传输给功放,让其放大音频信号,最后传输给各个扬声器。电子分频器的作用:

分频器的设计2014-1-10 10.29.8

武汉理工大学《微机原理与接口技术》课程设计报告书

号:
0121105830129
课 程 设 计
题 学 专 班 姓
目 院 业 级 名
分频信号发生器的分析与设计 自动化学院 电气工程及自动化 电气 1107 班 成涛 陈静 教授
指导教师
2014 年
01 月
09 日

武汉理工大学《微机原理与接口技术》课程设计报告书
课程设计任务书
学生姓名: 指导教师: 题 目: 成涛 专业班级: 电气 1107 班 陈静 教授 工作单位: 自动化学院 分频信号发生器的分析与设计
要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰 写等具体要求) 1. 设:有一输入方波信号 f0(<1MHz) 。要求输出信号:f1=f0/N,N 通过键盘 输入。 2. 画出简要的硬件原理图,编写程序。 3. 撰写课程设计说明书。 内容包括:摘要、 目录、 正文、 参考文献、 附录 (程 序清单) 。正文部分包括:设计任务及要求、方案比较及论证、软件设计说明(软 件思想,流程,源程序设计及说明等) 、程序调试说明和结果分析、课程设计收 获及心得体会。
时间安排: 12 月 26 日----- 12 月 28 日 查阅资料及方案设计 12 月 29 日----- 01 月 0 2 日 编程 01 月 03 日-----0 1 月 07 日 调试程序 01 月 08 日----- 01 月 09 日 撰写课程设计报告
指导教师签名: 系主任(或责任教师)签名:
年 年
月 月
日 日

分频器的设计

分频器的设计 一、课程设计目的 1.学会使用电路设计与仿真软件工具Hspice,熟练地用网表文件来描述模拟电路,并熟悉应用Hspice内部元件库。通过该实验,掌握Hspice的设计方法,加深对课程知识的感性认识,增强电路设计与综合分析能力。 2.分频器大多选用市售成品,但市场上出售的分频器良莠不齐,质量上乘者多在百元以上,非普通用户所能接受。价格在几十元以下的分频器质量难以保证,实际使用表现平庸。自制分频器可以较少的投入换取较大的收获。 二.内容 分频器-概述 分频器是指使输出信号频率为输入信号频率整数分之一的电子电路。在许多电子设备中如电子钟、频率合成器等,需要各种不同频率的信号协同工作,常用的方法是以稳定度高的晶体振荡器为主振源,通过变换得到所需要的各种频率成分,分频器是一种主要变换手段。早期的分频器多为正弦分频器,随着数字集成电路的发展,脉冲分频器(又称数字分频器)逐渐取代了正弦分频器,即使在输入输出信号均为正弦波时也往往采用模数转换-数字分频-数模转换的方法来实现分频。正弦分频器除在输入信噪比低和频率极高的场合已很少使用。

分频器-作用 分频器是音箱中的“大脑”,对音质的好坏至关重要。功放输出的音乐讯号必须经过分频器中的各滤波元件处理,让各单元特定频率的讯号通过。要科学、合理、严谨地设计好音箱之分频器,才能有效地修饰喇叭单元的不同特性,优化组合,使得各单元扬长避短,淋漓尽致地发挥出各自应有的潜能,使各频段的频响变得平滑、声像相位准确,才能使高、中、低音播放出来的音乐层次分明、合拍,明朗、舒适、宽广、自然的音质效果。 在一个扬声器系统里,人们把箱体、分频电路、扬声器单元称为扬声器系统的三大件,而分频电路对扬声器系统能否高质量地还原电声信号起着极其重要的作用。尤其在中、高频部分,分频电路所起到的作用就更为明显。其作用如下: 合理地分割各单元的工作频段; 合理地进行各单元功率分配; 使各单元之间具有恰当的相位关系以减少各单元在工作中出现的声干涉失真; 利用分频电路的特性以弥补单元在某频段里的声缺陷; 将各频段圆滑平顺地对接起来。 分频器-分类 1)功率分频器:位于功率放大器之后,设置在音箱内,通过LC滤波网络,将功率放大器输出的功率音频信号分为低音,中音和高音,分别送至各自扬声器。连接简单,使用方便,但消耗功率,出现音频谷

电子分频是什么

电子分频是什么 说到电子分频,首先要说分频器是什么。顾名思义,分频器是一种将不同频率的信号进行分割的电路装置。其本质就是信号中的各种滤波器。通常我们指的音频的频率范围在20Hz-20KHz,高音指的是频率较高的声音,低音指的的是频率较低的声音。而在HiFi音响中,分频器的作用就是将高、中、低音,按照需要频率进行分割。因此分频器也常被称为“分音器”。 为什么需要分频器呢?因为不同的喇叭单元各自的特性不同,它们都有最佳表现的频率范围。因此在要求较高的HiFi音响系统中,利用分频器将频率进行分割,再分别交给高、中、低音喇叭,使在它们仅在最佳表现的频率范围内工作,以达到音质更佳的目的。 那么分频器有哪些种类呢?根据分频器在音响系统中所处的位置不同,我们通常又将它们分为功率分频器和电子分频器。 功率分频器: 电子分频器:

功率分频器是家庭HiFi音响中最常见的分频器,它处于功放之后、喇叭之前。正是因为它需要承受功放输出的巨大功率,所以称为功率分频器。功率分频器都是无源滤波器。 电子分频器则用来构成另一种音响系统。它处于音源之后,功放之前。经过它的音频信号较弱,所以通常用有源滤波器来实现。因此电子分频器也常被成为:有源分频器、主动分频器等。

功率分频器由于受元器件所限,所以在阻抗匹配、相位特性、插入损耗等方面和电子分频相比都不具优势。更重要的是,电子分频系统中,以多台功放分工合作的方式代替了功率分频系统中一台功放全力工作的方式,使得对功放的要求明显下降,但表现却能大大提升。 其实在专业音响上,电子分频系统早就被成熟运用。不过略有不同的是,专业音响中更多使用的电子分频器是DSP(数字信号处理器),它的最大特别是集成度高,功能强大,可以对曲线等进行各种调整。而在家用HiFi音响中,特别是对普通用户来说,笔者更推荐使用模拟的电子分频器。模拟的电子分频器没有很多功能和可调整的部分,但也因此能拥有更自然更优质的声音。 当然,不可否认,无论哪种音响系统如果设计合理,都可能发出好声音。 一家之言,仅供参考。

分频器作用及分频点的选择基础

分频器作用及分频点的选择基础 中国汽车影音网2005-7-17 【字体:小大】 分频器的作用: 在一个扬声器系统里,人们把箱体、分频电路、扬声器单元称为扬声器系统的三大件,而分频电路对扬声器系统能否高质量地还原电声信号起着极其重要的作用。尤其在中、高频部分,分频电路所起到的作用就更为明显。其作用如下: 合理地分割各单元的工作频段; 合理地进行各单元功率分配; 使各单元之间具有恰当的相位关系以减少各单元在工作中出现的声干涉失真; 利用分频电路的特性以弥补单元在某频段里的声缺陷; 将各频段圆滑平顺地对接起来。 显然,分频电路的这些作用已被人们所认识和接受。 分频点的选择: 1 考虑中低单元指向性实用边界频率f=345/d(d=单元振膜有效直径)。通常8”单元的边界频率为2k,6.5”单元的边界频率为2.7k,5”单元为3.4k,4”单元为4.3k。也就是说使用上述单元,其分频点不能大于各单元所对应的实用边界频率。 2 从高音单元谐振频率考虑,分频点应大于三倍的谐振频率。也就是说从高音单元的角度

出发,通常分频点应大于2.5k。 3 考虑中低音单元高端响应Fh,通常分频点不应大于1/2 Fh。实际上,二分频音箱上述条件很难得到同时满足。这时设计者应在这三者中有一个比较好的折中选择。但必须强调的是,第一个条件即实用边界频率应该优先满足。 4 三分频的情况下,通常应将两个分频点隔得愈远(应在三个倍频程以上),组合后的系统响应会变得愈好。否则,将会出现复杂的干扰辐射现象。 5 低音与中音的分频点应考虑人声声像定位的问题。应使人声的重放尽可能由中音单元来承担,以避免人声的声像定位音色发生过大的变化。这一点往往容易被设计者所忽视。通常这一分频点应为200-300Hz。

相关主题
文本预览
相关文档 最新文档