数电课设—智力竞赛抢答计时器的设计
- 格式:docx
- 大小:90.01 KB
- 文档页数:16
题目一、设计任务和要求:1.设计任务设计一台可供4名选手参加比赛的智力竞赛抢答器。
用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响0.5秒。
选手抢答时,数码显示选手组号,同时蜂鸣器响0.5秒,倒计时停止。
2.设计要求(1)、4名选手编号为:1,2,3,4。
各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。
(2)、给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。
(3)、抢答器具有数据锁存和显示的功能。
抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。
抢答选手的编号一直保持到主持人将系统清零为止。
(4)、抢答器具有定时(9秒)抢答的功能。
当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续0.5秒。
参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续0.5秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。
(5)、如果抢答定时已到,却没有选手抢答时,本次抢答无效。
系统扬声器报警(音响持续0.5秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。
(6)、用石英晶体振荡器产生频率为1H z的脉冲信号,作为定时计数器的CP信号。
二、总体方案选择:电路主要由脉冲产生电路、锁存电路、编码及译码显示电路、倒计时电路和音响产生电路组成。
当有选手抢答时,首先锁存,阻止其他选手抢答,然后编码,再经4线7段译码器将数字显示在显示器上同时产生音响。
主持人宣布开始抢答时,倒计时电路启动由9计到0,如有选手抢答,倒计时停止。
电路系统结构如图2-4:三、单元电路设计1、控制电路1) CD4511器件简介CD4511是一块BCD-十进制七段译码/驱动器课本上不曾讲过,它带有锁存端口,其功能比书上我们学过的74HC4511CMOS七段显示译码器功能要强大的多,这也是我本此实验选用这个器件的缘故。
数字电路课程设计一、设计任务和要求:1. 抢答器同时供4名选手抢答,抢答器具有定时抢答功能,且一次抢答的时间为10秒。
当主持人启动"开始"键后,定时器进行计时(0~9)。
2. 抢答器具有锁存与显示功能。
即选手按动按钮,锁存相应的编号,并在数码管上显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。
3. 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,绿灯亮,并保持到主持人将系统清除为止。
4. 在“抢答开始”命令发出后,超出规定时间无人抢答,定时显示器上显示9,显示抢答人组号的数码管此时显示无用字符,且红灯亮。
5.在“抢答开始”命令前抢答者,显示违规抢答者序号:红灯亮。
二、总体方案选择:工作原理如框图所示:接通电源后,主持人开关开始时接地处于禁止状态,编号显示器显示0,定时器显示时间(0);此时,若有人抢答, 为违规抢答,LED显示器显示其编号,并红灯警告.定时器显示不变;主持人将开关置“开始"端,宣布"开始",抢答器工作,同时定时器计时,选手在定时时间内抢答时,抢答器完成:优先判断, 编号锁存, 编号显示。
若在10秒内无人抢答,10秒到后抢答器自动锁定,计数器停止计数,抢答无效,且红灯亮。
如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关。
数字抢答器框图:抢答按钮优先编码锁存器译码电路译码显示电路主持人控控制电路制开关秒脉冲产生定时电路译码电路显示电路三,单元电路设计所用器材芯片数量芯片数量555一片74LS161一片74LS75一片74LS32一片74LS48两片74LS20 一片74L04 一片七段显示管两个74L08一片发光二极管2个74L000 一个电阻6个电容:0.01uf 4.7uf 各一个面包板一块该电路完成两个功能:一是分辨出抢答的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键,操作无效。
智力竞赛抢答计时器的设计设计任务设计一个4 人参加的智力竞赛抢答计时器。
当有某一参赛者首先按下抢答开关时,相应显示灯亮并伴有声响,此时抢答器不再接受其他输入信号。
图9—48 总体框图电路具有回答问题时间控制功能。
要求回答问题时间小于等于l00s (显示为0~99 ) , 时间显示采用倒计时方式。
当达到限定时间时,发出声响以示苦告。
总体框图如图9—48 所示。
2 .模块及模块功能模块FENG 如图9—49 所示。
此模块在任一个选手按下按键后,输出高电平给锁存器,锁存当时的按键状态。
由于没有时钟同步,所以锁存的延时时间只是硬件延时时间,从而出现锁存错误的概率接近零。
library ieee; ——任一选手按下按键后,锁存器完成锁存,对其余选手的请求不做只有在主持人按下按键复位后才可以再次抢答use ieee.std_logic_1164.all;entity feng isport(cp,clr:in std_logic;q:out std_logic);end feng;architecture feng_arc of feng isbeginprocess(cp,clr)beginif clr='0' thenq<='0'; 图9—49 模块FENGelsif cp'event and cp='0' thenq<='1';end if;end process;end feng_arc;模块SEL如图9—50所示。
此模块产生数码管片选信号。
library ieee;use ieee.std_logic_1164.all;entity sel isport(clk:in std_logic;a:out integer range 0 to 7);end sel;architecture sel_arc of sel is 图9—50 模块SELbeginprocess(clk)variable aa:integer range 0 to 7;beginif clk'event and clk='1' thenaa:=aa+1;end if;a<=aa;end process;end sel_arc;模块LOCKB如图9—51所示。
开放课题智力竞赛抢答器设计报告(一)开放课题智力竞赛抢答器设计报告一、背景介绍开放课题智力竞赛是一项智力竞赛,需要选手快速准确地抢答问题,因此需要一个抢答器来辅助比赛,提高比赛的公正和效率。
二、需求分析1. 选择器为了避免抢答造成混乱,需要一个选择器,能够依次选择下一个抢答选手。
选择器可以是一个按钮或者一个旋钮。
2. 显示器为了确保比赛公正,需要一个显示器来显示抢答选手的编号和得分。
显示器可以是数字显示屏或LED灯。
3. 计时器为了控制比赛时间,需要一个计时器。
当比赛时间到达设定时间时,抢答器会自动停止,不能再进行抢答。
4. 抢答按钮每个选手都需要一个抢答按钮,选手按下按钮后,抢答器会记录下时间并发出声音。
选手抢答的时间越短,得分越高。
三、系统设计抢答器主要由选择器、显示器、计时器和抢答按钮组成。
选择器通过按钮或旋钮选择下一个抢答选手,选手按下抢答按钮来开始抢答。
计时器会记录抢答时间并停止计时器,同时记录抢答选手的编号和得分。
显示器显示抢答选手的编号和得分。
四、原理图设计抢答器的原理图如下图所示:五、电路设计抢答器的电路设计如下图所示,采用了ATmega328P单片机作为控制核心,用按钮或旋钮控制下一个抢答选手,同时记录选手的编号和得分。
显示器使用LED显示器,可以清晰显示抢答选手的编号和得分。
六、实验结果经过实验可以发现,抢答器的响应速度非常快,抢答选手的编号和得分可以清晰显示在LED屏幕上。
同时,计时器的精度非常高,可以确保比赛的公正性。
七、结论本文设计了一种抢答器,用于开放课题智力竞赛。
经过实验可以发现,抢答器的响应速度非常快,计时器的精度非常高,可以确保比赛的公正性。
因此,本文设计的抢答器可以广泛应用于各种智力竞赛和抢答活动中。
数电课程设计八路智力竞赛抢答器设计课程设计任务书题目: 八路智力竞赛抢答器设计初始条件:◆教材:《电子线路设计·实验·测试》第三版谢自美主编华中科技大学出版社◆元器件:74LS48三片,72LS192两片,74LS279、74LS148、74LS00、74LS11、555各一片,数码管三个,发光二极管一个,开关、电阻、电容若干,面包板,导线若干◆仿真:Proteus仿真软件要求完成的主要任务: (包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)◆多路智力竞赛抢答器功能要求:基本功能:1.设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,她们的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。
2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。
3.抢答器具有数据锁存和显示的功能。
抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。
另外,要封锁输入电路,禁止其它选手抢答。
优先抢答选手的编号一直保持到主持人将系统清零为止。
扩展功能:1.抢答器具有定时抢答的功能,且一次抢答的时间能够由主持人设定。
当节目支持人按下“开始”按钮后,要求定时器立即倒计时,并在显示器上显示,同时扬声器发出短暂的声响,声响持续时间0.5s左右。
2.参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。
3.如果定时抢答的时间已到,却没有选手抢答,则本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00.报告要求:课程设计的内容要求用A4纸打印,且页数不得少于20页。
时间安排:第20周理论设计、实验室安装调试地点安排:鉴主15楼通信实验室一指导教师签名:年月日系主任(或责任教师)签名:年月日摘要在各种智力竞赛场合,抢答器是必不可少的最公正的用具。
萍力/攵知学电子技术课程设计成绩评定表设计课题:智力竞赛抢答器学院名称: _____________ 电气工程学院专业班级: ____________ 电气1503学生: _______________ 段帅朋学号:201523010310指导教师 : _____________________________________ 设计地点: ______________ 31-220设计时间:2017.6.26-2017.7.2指导教师意见:成绩:签名:年月日电子技术课程设计任务书目录1. 绪论................................................................. 3..1.1设计目的........................................................ 3.1.2设计要求........................................................ 3.2. 方案设计.............................................................3..2.1系统工作流程图.................................................3.2.2元器件活单...................................................... 4.2.3主要元器件选择与分析............................................ 5.2.3.1轻触开关.................................................. 5.2.3.2 74LS192计数芯片 ......................................... 5.2.3.3共阴极数码管以及其驱动芯片74LS48 (6)2.3.4 74LS175四路D触发器 (7)2.3.5 555 定时器................................................ 8.2.3.6集成门电路................................................ 8.2.3.7无源蜂鸣器................................................ 8.3. 原理分析............................................................. 9..3.1抢答必答模式选择及其指示电路 (9)3.2抢答电路........................................................ 9.3.3脉冲产生电路.................................................. 1.03.4单稳态定时电路 (11)3.5定时电路....................................................... 1.23.6音响电路....................................................... 1.33.7整机电路分析................................................... 1.33.8加减分数电路................................................... 1.44. 设计总结 (15)1. 绪论1.1设计目的1、注重培养学生正确的设计思想,掌握课程设计的主要容、步骤和方法。
数电课程设计--八人智力抢答器(总15页)--本页仅作为文档封面,使用时请直接删除即可----内页可以根据需求调整合适字体及大小--华南理工大学广州学院中兴3G学院数字电子技术课程设计报告题目:八人智力抢答器专业:通信工程班级:姓名:学号: gdpnzzm@163,com序号:日期: 2013年 1 月目录一:设计目的 3 二:设计要求和设计指标 3三:总体框图设计 3四:功能模块设计和原理说明 4五:总电路图与器件 11六:本设计改进建议 12七:总结(感想和心得等) 13一.设计目的为了让学生更加深刻理解数字电子技术中的各项元器件的原理和运用,开展数字电子技术课程时间,增进学生对知识的理解和运用,增加学生学习兴趣。
二.设计要求和设计指标:A: 抢答组数分为8组,每组序号分别为1,2,3,4,5,6,7,8,按键SB0~SB7分别对应8个组,抢答者按动本组按键,组号立即在LED显示屏上显示,同时封锁其他组的按键信号B: 数字抢答器定时为30s,通过按控制键启动抢答器后,要求30s定时器开始工作,发光二极管点亮。
C: 抢答者在30s内进行抢答,则抢答有效,如果30s定时到时,无抢答者,则本次抢答无效,系统短暂报警。
三.总体框图设计选手四.功能模块设计和原理说明本设计主要有555定时器产生的脉冲模块。
还有30秒倒计时模块。
倒计时模块的设计灵感来源于实验指导书的最后一个实验,电子秒表。
八选一抢答模块,还有显示模块和反馈模块。
555定时器模块:本模块利用书本中第八章,用555定时器组成多谐振荡器的知识,运用公式tpL=R2cln2=tpH=(R1+R2C)LN2=(R1+R2)Cf=(R1+R2)C+根据本次课程设计的要求,我们的555需要提供1Hz的时钟脉冲信号,所以我由公式设计得出该电路的电阻应为R1=R2=48K,C=10uf。
另外图中,10000pf电容即10nf电容是滤波电容。
555的脉冲输出口是3号口,我们并不是直接将555连接74LS192芯片,而是通过一个开关之后,和一个与门之后连接74LS192。
电子课程设计报告题目:智力竞赛抢答器学生姓名专业学号指导教师日期一、完成课题的工作基础和实验条件【工作基础】LPS305直流稳压电源提供5V固定电压TFG2006V-6MHz信号发生器提供峰峰值1V、频率1Hz的方波【实验条件】各类CMOS器件器件列表如下:【4518】1个【4013】2个【4072】3片【4069】3片二、设计任务和要求【智力竞赛抢答器】电路简介:智力竞赛抢答器是为智力竞赛参赛选手答题时进行抢答而设计的一种优先判决电路。
参赛选手可以分为若干组,抢答时每组选手对主持人提出的问题要在最短的时间内作出判断,并按下抢答键回答问题。
竞赛规则:主持人宣布答题开始时,选手可以选择抢答或放弃,如果选手提前抢答视为犯规。
【设计要求】1、计时功能:主持按下启动键后,秒时钟计时开始。
2、正常抢答:在规定时间40s之内某选手按下抢答键时,显示席位号,表示正常抢答,秒时钟自动停止;3、放弃抢答:主持按下启动键后,秒时钟计时开始,40s还没有选手按下抢答键,表示放弃抢答,秒时钟自动停止;4、提前抢答:主持人未按下启动键时,某选手就按下抢答键,显示对应席位号,并发出响声,表示抢答犯规;5、封锁功能:当第一个选手按下抢答键后,电路将其他各组按键封锁,使其不起作用;6、复位功能:电路具有复位功能。
【主要技术性能指标】1、选手席位数量:4个主持人:1个2、席位指示灯显示:LED数码管,1个正常抢答时显示席位号(1~4),犯规抢答时显示席位号并发出响声提示。
3、抢答时间范围:0s~40s。
4、时间显示方式:LED数码管,两个。
5、复位方式:手动,按钮复位。
注:按钮可由开关代替。
三、电路基本原理该电路设计中共包括三部门主要电路:1、抢答器电路2、计时电路3、报警电路下面分别加以说明:【抢答器电路】主要功能:在规定时间40s之内某选手按下抢答键时,显示席位号,表示正常抢答【计时电路】主要功能:主持按下启动键后,秒时钟计时开始,40秒后停止【报警电路】主要功能:主持人未按下启动键时,某选手就按下抢答键,显示对应席位号,并发出响声,表示抢答犯规四、实验与调试【仿真完成后根据具体实验过程对电路图所作修改】1、连接显示选手席位号的译码器的四输入或门由于所发4072只有三片,器件不够,因而改成非门加与非门2、测试计时器功能时可适当加快信号发生器所提供的信号频率,以加快计时所用时间,方便观测【实验过程中注意事项】1、各部门主要电路分别测试,例如首先连接好计时电路并检测4518性能2、电路连接过程中注意不要带电改电路,切断电源后再插拔线路3、插拔芯片时须小心管脚,勿折断4、注意芯片接地与接电源端,切勿接反五、设计体会通过本次四路抢答器的电路设计,我对电子电路的设计过程有了更清楚的认识。
目录一.摘要 (2)二.设计任务书 (3)2.1 设计题目 (3)2.2 技术要求 (3)2.3 给定条件及元器件 (3)2.4 设计内容 (4)三.电路的组成及工作原理 (5)四.元器件的选取及其引脚图和功能 (7)五.整机电路图 (12)六.元件明细表 (13)七.总结 (14)八.参考文献 (16)一.摘要本文是利用双D触发器74LS74和同步十进制计数器74LS192及简单门电路设计,实现智力竞赛抢答计时器,这好比一名公正的裁判员,它的任务是从若干名参赛者中确定出最先的抢答者,并要求参赛者在规定的时间里回答完问题。
本设计实现的是三路抢答,其具有抢答,倒计时,及倒计时暂停功能等。
关键词:三人抢答器智力抢答二.设计任务书2.1 设计题目:智力竞赛抢答计时器的设计。
2.2 技术要求:1)设计一个三人参加的智力竞赛抢答计时器;2)当有某一参赛者首先按下抢答开关时,相应显示灯亮并伴有声响。
此时抢答器不再接收其他输入信号;3)电路具有回答问题时间的功能。
要求回答问题的时间小于100秒(显示为0~99),时间显示采用倒计时的方式,当达到限定时间时发出声响以示警告。
2.3 给定条件及元器件:1)要求电路主要选用中规模T T L集成电路C T74系列;2)电源电压为5V;3)用LE D数码管显示时间。
2.4 设计内容:1)电路各部分的组成和工作原理;2)元器件的选取及其电路图和功能;3)整机电路图;4)元件明细表;5)参考文献;6)在设计过程中遇到何问题,其原因及解决办法的心得体会。
三.电路的组成及工作原理根据上面所说的功能要求,智力竞赛抢答计事系统的组成框图如下图所示。
它主要有六部分组成:图1 智力竞赛抢答计时器系统组成框图1)抢答器——是智力竞赛抢答器的核心。
当参赛者的任意一位首先按下抢答开关时,抢答器即刻接受该信号,指使相应发光二极管亮(或音响电路发出声音),与此同时,封锁住其他参赛者的输入信号。
2)清零装置——供比赛开始前裁判员使用。
电子技术课程设计--智力竞赛抢答器设计报告智力竞赛抢答器设计报告目录一.设计任务和要求----------------------------------------------(2)1.1设计任务------------------------------------------------(2)1.2设计要求------------------------------------------------(2)1.3设计目的------------------------------------------------(2)二.设计方案的选择与论证---------------------------------- (2)2.1设计思路------------------------------------------------(2)2.1.1基本功能---------------------------------------------(3)2.1.2 扩展功能--------------------------------------------(3)2.1.3 抢答器的组成框图--------------------------------(3)2.2方案论证------------------------------------------------(5)三.电路设计计算与分析----------------------------------------(5)3.1单元电路的设计及原理分析------------------------(5)3.1.1抢答电路的设计------------------------------------(5)3.1.2定时电路的设计------------------------------------(8)3.1.3声响电路的设计------------------------------------(8)3.1.4时序控制电路设计---------------------------------(9)3.2电路仿真图-------------------------------------------- (11)3.2.1抢答模块电路仿真图----------------------------- (11)3.2.2 定时模块电路仿真图---------------------------- (12)3.2.3整体电路仿真图-----------------------------------(12)3.3电路元器件参数的选择----------------------------- (12)四. 总结及心得---------------------------------------------------- (20)五.附录------------------------------------------------------------ (22)附录一.元件清单------------------------------------------ (22)附录二.电路原理图(另见A3纸) --------------------------(22)六.参考文献------------------------------------------------------ (23)2一.设计任务和要求1.1设计任务:利用所学的数字电子电路的知识设计一个可供四组参赛者进行比赛的智力竞赛抢答器。
课 程 设 计课程名称 数字电子技术 课题名称智力竞赛抢答器专 业 测控技术与仪器班 级 学 号 姓 名 指导老师胡爱明2015年9月18日电气信息学院课程设计任务书课题名称智力竞赛抢答器姓名专业测控技术与仪器班级学号指导老师胡爱明课程设计时间第1周 - 第2 周一、任务及要求:(一)、设计内容:1.设计一个可容纳8组代表队参赛的智力抢答器,每组设一个抢答按钮,按钮的编号与选手的编号相对应。
2. 抢答器具有第一信号鉴别及数据锁存功能。
主持人将设备复位(清零)后,发出抢答指令,当第一组参赛者触动按钮时,该组指示灯亮。
此后,其他组别触动按钮无效。
3. 设计一个用数码管显示1~8组中最先抢答组别的电路。
4. 抢答器具有定时30S抢答的功能,当主持人发出抢答指令后开始减计时,并用显示器显示时间。
当抢答时间到,蜂鸣器鸣叫发出报警信号,并封锁输入电路,禁止选手超时抢答。
5.设计一个犯规判别电路,并用指示灯显示。
6.设置记分显示电路,每组预置100分,答对1次加10分,答错1次减10分。
(二)设计要求:1.设计思路清晰,给出整体设计框图和总电路图;2.单元电路设计,给出具体设计思路和电路;3.安装、调试电路;4.写出设计报告。
二、进度安排第一周:周一:布置任务,查找资料周二~周三:设计系统方案,仿真周四~周日:电路安装,或程序调试第二周:周一~周二:电路安装,调试,运行或程序调试,下载运行。
周三:结果验收周四:撰写报告,答辩周五:资料整理三、参考资料1、《数字电子技术基础》阎石主编高等教育出版社2、《电子技术课程设计指导》彭介华主编高等教育出版社3、《电子线路设计、实验、测试》谢自美主编华中理工出版社。
目录第1章设计思路 (2)第2章工作原理 (3)2.1原理框图 (3)2.2主要芯片介绍 (3)第3章电路设计 (6)3.1单元电路的设计 (6)(1)抢答部分电路设计 (6)(2)定时部分电路设计 (7)(3)报警部分电路设计 (7)(4)计分部分电路设计 (8)3.2总体电路设计 (8)第4章Multisim仿真图与线路安装图 (9)第5章故障分析与电路改进 (11)第6章元件清单 (11)第7章心得体会 (12)附录 (13)第一章设计思路抢答器作为一种工具,已广泛应用于各种智力和知识竞赛场合。
......电子技术课程设计成绩评定表设计课题:智力比赛抢答器学院名称:电气工程学院专业班级:电气 1503学生:段帅朋学号:201523010310指导教师:设计地址:31-220设计时间:指导教师建议:成绩 :署名:年月日学生题目课题性质指导教师主要容(参数)任务要求(进度)主要参照资料审察建议......电子技术课程设计任务书段帅朋专业班级电气1503学号201523010310智力比赛抢答器工程设计课题根源自拟用 TTL 或 CMOS 集成电路设计智力比赛抢答器逻辑控制电路,详细要求以下:1.抢答组数为 4 组,输入抢答信号的控制电路应由无颤动开关来实现。
2.鉴别选组电路。
能快速、正确地判处抢答者,同时能清除其余组的扰乱信号,闭锁其余各路输入使其余组再按开关时失掉作用,并能对抢中者有光、声显示和呜叫指示。
3. 计数、显示电路。
每组有三位十进制计分显示电路,能进行加/减计分。
4.准时及音响。
必答时,启动准时灯亮,以示开始,当时间到要发出单音调“嘟”声,并熄灭指示灯。
抢答时,当抢答开始后,指示灯应闪亮。
当有某组抢答时,指示灯灭,最先抢答一组的灯亮,并发出音响。
也能够驱动组别数字显示(用数码管显示)。
第 1-2 天:熟习课程设计任务及要求,查阅技术资料,确立设计方案。
第3-4 天:依照确立的方案设计单元电路。
要求画出单元电路图,元件及元件参数选摘要有依照,各单元电路的设计要有详尽阐述。
第 5-6 天:撰写课程设计报告。
要求容完好、图表清楚、文理流利、格式规、方案合理、设计正确,篇幅合理。
[1]康华光.电子技术基础(模拟部分)(第 5 版) [M] .:高等教育, 2004[2]阎石.数字电子技术基础(第 5 版) [M] .:高等教育, 2006[3]光明 .电子技术书课程设计与综合实训 [M] .航空航天 . 2007系(教研室)主任署名:年月日......目录1.绪论 (3)设计目的 (3)设计要求 (3)2.方案设计 (3)系统工作流程图 (3)元器件清单 (4)主要元器件选择与剖析 (5)轻触开关 (5)74LS192计数芯片 (5)2.3.3 共阴极数码管以及其驱动芯片 74LS48 (6)74LS175四路 D 触发器 (7)555 准时器 (8)集成门电路 (8)无源蜂鸣器 (8)3.原理剖析 (9)抢答必答模式选择及其指示电路 (9)抢答电路 (9)脉冲产生电路 (10)单稳态准时电路 (11)准时电路 (12)音响电路 (13)整机电路剖析 (13)加减分数电路 (14)4.设计总结 (15)....c......1.绪论1.1 设计目的1、着重培育学生正确的设计思想,掌握课程设计的主要容、步骤和方法。
电子技术课程设计智力竞赛答器专业:电气工程及其自动化班级:05-2班姓名:学号:辅导老师:目录一.题目 (2)二.简述 (2)三.设计任务和要求 (2)四.选用器材 (3)五.设计方案 (4)六.电路的组成及控制原理 (4)七.参考电路及简要说明 (8)八.设计心得 (9)九.参考文献 (10)四人智力竞赛抢答器一.简述智力竞赛时一种生动活泼的教育形式和方法,通过抢答和必答两种形式能引起参赛者和观众的极大兴趣,并且在极短时间内四,使人们增加一些科学知识和生活常识。
实际进行智力竞赛时,一般分为若干组,各组对主持人提出的问题,分必答和抢答两种,必答有时间限制,到时要告警。
回答问题正确与否,由主持人判别加分还是减分,成绩评定结果要用电子显示装置显示。
抢答时,要判定哪组优先,并予以指示和鸣叫。
因此,要完成以上智力竞赛抢答器逻辑功能的数字逻辑功能控制系统,至少应包括以下几个部分:1.记分,显示部分;2.判别选组控制部分;3.定时电路和音响部分;二.设计要求和任务用TTL和CMOS集成电路设计智力竞赛抢答器逻辑控制电路,具体要求如下:1.抢答组数为4组,输入抢答信号的控制电路应有无抖动开关来实现。
2.判别选组电路。
能迅速,准确地判出抢答者,同时能排除其他组的干扰信号,闭锁其它各路输入使其它组再按开关时失去作用,并能对抢中者有光,声显示和鸣叫指示。
3.计数、显示电路。
每组有三位十进制计分显示电路,能进行加/减记分。
4.定时及音响。
必答时,启动定时灯亮,以示开始,当时间到要发出单音调“嘟“声,并熄灭指示灯。
抢答时,当抢答开始后,指示灯应闪亮。
当有某组抢答时,指示灯灭,最先抢答一组的灯亮,并发出音响,也可以驱动组别数字显示(用数码管显示)。
5.主持人应有复位按钮。
抢答和必答定时应有手动控制。
三.选用器材NET系列数字电子技术试验系统1.直流稳压电源2.集成电路74LS190,74LS48,CD4043,74LS112及门电路3.显示器:LC5011-11,CL002,发光二极管4.拨码开关(8421码)5.阻容元件,电位器6.喇叭,开关等四.设计方案1.复位和抢答开关输入防抖电路,可采用RS触发电路来完成。
数字电子技术基础课程设计报告设计题目:智力竞赛抢答计时器设计人班级学号设计时间指导教师2011年12月26日星期一目录一、技术要求--------------------------------1二、设计方案------------------------------------1三、单元电路设计---------------------------------------31.电源设计----------------------------------32.脉冲信号发生------------------------------------43.整形电路---------------------------------------5四、电路总图-------------------------------------------7 五、原理叙述----------------------------------------7六、元器件明细表----------------------------------8七、设计结语------------------------------------------81.设计过程遇到的问题和解决的办法-----------------------83.心得体会----------------------------------9八、参考资料------------------------------------9九、教师评语-----------------------------10十、结语-----------------------------------10一、技术要求1.设计一个三人参加的智力竞赛抢答计时器。
2.显示最先按者,后按者不显示。
3.限时30秒,采用倒计时方式,时间到后显示出来,并发出声音提示。
4.选用数字集成芯片实现。
5.线路简单可靠。
数字电子技术课程设计设计题目:十路智力竞猜抢答器课程设计题目:多路智力竞赛抢答器一、任务设计一个多路智力竞赛抢答器。
二、设计要求1、基本要求1)设计一个4路(1~4)智力竞赛抢答器,主持人可控制系统的清零和抢答的开始,控制电路可实现最快抢答选手按键抢答的判别和锁定功能,并禁止后续其他选手抢答。
2)抢答选手确定后给出音响提示和选手编号的显示,抢答选手的编号显示保持到系统被清零为止。
2、发挥部分1)扩展为10路(1~10)智力竞赛抢答器。
2)设计抢答最长时间(30秒)限制和倒计时显示。
三、系统框图设计四、系统各个方案选择与论证1)抢答按键的选择a.轻触开关:轻触开关速度快,符合实际。
b.自锁开关: 自锁开关在抢答时,不够方便,每次都要复位。
所以本设计采用轻触开关。
2)数码管驱动芯片的选择a.CD4511: 用于驱动共阴LED(数码管)显示器的BCD----七段码译码器,具有BCD转换、消隐、锁存控制、七段译码以及驱动功能的CMOS能提供较大的拉电流,能直接驱动LED显示器。
b.74LS48: 现在比较流行的七段译码器,但是没有所存功能。
本设计由于要使用微动开关,所以选择有锁存功能的CD4511。
3)计数器的选择:a.CD40192:是同步十进制可逆计数器,具有双时钟输入,并具有清除和置零功能。
其为CMOS类型,具有更加稳定的性能和较小的功耗。
但要求输入电流很小。
b.74LS192:功能和CD40192差不多,因为它为TTL型,所以运行速度较快,功耗较大。
能承受较大的输入电流。
本设计为了尽量不去考虑输入电流的大小对计数芯片的影响,所以采用74LS192计数器。
4)触发器的选择:经过分析,D触发器组成的电路能满足本设计电路的逻辑运算。
并且价格相对较便宜。
所以采用D触发器。
五、系统单元电路设计1)抢答电路设计抢答部分采用CD4511直接驱动数码管,CD4511的输出电流较大,所以在输出接300至500欧的限流电阻。
智力竞赛抢答器课程设计课程名称:智力竞赛抢答器课程设计课程目标:1. 理解智力竞赛抢答器的原理和使用方法;2. 学会设计和制作智力竞赛抢答器;3. 培养学生的团队合作和创新能力;4. 提高学生的智力竞赛能力。
课程内容:第一课:智力竞赛抢答器原理介绍- 介绍智力竞赛抢答器的定义、作用和分类;- 分析智力竞赛抢答器的原理及其技术支持;- 给出智力竞赛抢答器的典型应用场景。
第二课:智力竞赛抢答器设计要点- 分析智力竞赛抢答器的设计要点,包括外观设计、按键设计、显示设计等;- 引导学生思考如何根据不同的智力竞赛需求设计合适的抢答器。
第三课:抢答器电路设计与制作- 介绍抢答器电路的基本原理和常用元器件;- 指导学生进行抢答器电路的设计与制作;- 学生利用提供的电路设计软件进行实践操作。
第四课:控制程序编写与调试- 介绍抢答器控制程序的编写方法和基本语法;- 引导学生编写控制程序,实现抢答器的功能;- 学生通过调试程序,确保抢答器能够正常工作。
第五课:抢答器实验与测试- 学生利用自己制作的抢答器进行实验和测试;- 分析实验结果,总结抢答器的性能和可靠性;- 学生提出改进抢答器的想法,并进行相应的修改。
第六课:智力竞赛抢答器应用拓展- 介绍智力竞赛抢答器的应用拓展,如音乐竞赛、知识竞赛等; - 引导学生思考如何根据不同的竞赛需求设计更复杂功能的抢答器;- 学生进行抢答器应用的创新设计。
课程评估:1. 学生的课堂参与度和合作能力;2. 学生的抢答器设计和制作成果;3. 学生编写的抢答器控制程序的质量;4. 学生对抢答器实验结果和改进建议的总结。
师生互动方式:1. 教师授课引导学生学习知识;2. 学生分组合作完成抢答器设计和制作任务;3. 学生展示自己的抢答器成果,互相评价和交流经验;4. 教师和学生共同总结课程内容,提出问题和改进建议。
四人智力竞赛抢答器课程设计报告(最终5篇)第一篇:四人智力竞赛抢答器课程设计报告一、设计题目四人电子抢答器二、设计功能1.基本功能(1)抢答器同时供4名选手比赛,分别用4个按钮key5 ~ key8表示。
(2)设置一个系统重置和抢答控制开关start(key1),该开关由主持人控制。
(3)抢答器具有锁存与显示功能。
即选手按动按钮,锁存相应的编号,扬声器发出声响提示,并在数码管上显示选手号码。
选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。
2.扩展功能(1)抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(30秒)。
当主持人启动“开始”键后,定时器进行减计时。
(2)参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。
(3)在设定的抢答时间内如果定时时间已到,无人抢答,本次抢答无效,3.自主功能(1)抢答器具有自动计时功能,当有选手抢答答题时间(10秒)自动开始。
(2)报警电路设计:当答题时间和抢答时间将到或结束(还剩3秒时)发出蜂鸣声结束答题。
(3)每个选手有一个记分板,答对题目可以由主持人控制start 键给予加分。
每加一分响一次。
(4)长按start可以自动准备计时和系统清零,短按开始计时和暂停。
三、原理电路设计:1、方案抢答电路:使用74175作为锁存电路,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,74175立即被锁存,同时蜂鸣器鸣叫1s,这时抢答无效,使用74148作为编码器,对输入的型号进行编码。
输出在共阳数码管显示主持人电路:(1)利用2个74168计数器作为倒计时的芯片,当主持人按下抢答按钮时,2个74168被置29,同时将开始倒计时。
假如在30秒内有人抢答,则计数器停止倒计时,将锁存器锁存,禁止选手抢答,蜂鸣器鸣叫一秒,停止倒计时。
(2)利用4个74160分别控制4位选手的得分,分别显示在4个共阳数码管。
智力竞赛抢答器课程设计一、课程目标知识目标:1. 学生能理解并掌握抢答器的基本电路原理,包括按钮控制、指示灯显示等。
2. 学生能了解并描述数字电路基础知识,如逻辑门、触发器等,并明白其在抢答器中的应用。
3. 学生能够解释抢答器中涉及的电子元件的功能和作用,如电阻、电容、二极管、三极管等。
技能目标:1. 学生能够运用所学知识,设计并搭建一个简单的智力竞赛抢答器电路。
2. 学生通过实际操作,掌握基本的电路连接和调试技巧,提高动手能力。
3. 学生能够运用抢答器进行实际竞赛,提升反应速度和团队协作能力。
情感态度价值观目标:1. 学生通过课程学习,培养对电子技术的兴趣,激发创新意识。
2. 学生在团队协作中,学会互相尊重、支持和沟通,培养良好的合作精神。
3. 学生在竞赛过程中,树立公平竞争的意识,培养积极向上的心态。
课程性质:本课程为实践性较强的电子技术课程,结合理论知识与动手实践,培养学生电子技术应用能力。
学生特点:五年级学生对新鲜事物充满好奇,动手能力强,但电子技术知识有限,需要教师引导。
教学要求:注重理论与实践相结合,以学生为主体,教师引导,培养学生自主探究和团队协作能力。
通过课程目标的实现,使学生在知识与技能、情感态度价值观方面得到全面提升。
后续教学设计和评估将围绕这些具体的学习成果展开。
二、教学内容1. 数字电路基础知识:逻辑门原理、触发器功能及其在抢答器中的应用。
教材章节:第五章《数字电路基础》内容列举:逻辑门电路、RS触发器、JK触发器等。
2. 抢答器电路原理:按钮控制、指示灯显示、抢答成功判定等。
教材章节:第六章《电子技术应用》内容列举:按钮开关、指示灯、时钟信号、计数器等。
3. 电子元件功能与作用:电阻、电容、二极管、三极管等。
教材章节:第四章《常用电子元件》内容列举:电阻的阻值、电容的容值、二极管单向导电性、三极管放大作用等。
4. 电路连接与调试技巧:实物电路搭建、调试方法、故障排查等。
目录
一.摘要 (2)
二.设计任务书 (3)
2.1 设计题目 (3)
2.2 技术要求 (3)
2.3 给定条件及元器件 (3)
2.4 设计内容 (4)
三.电路的组成及工作原理 (5)
四.元器件的选取及其引脚图和功能 (7)
五.整机电路图 (12)
六.元件明细表 (13)
七.总结 (14)
八.参考文献 (16)
一.摘要
本文是利用双D触发器74LS74和同步十进制计数器74LS192及简单门电路设计,实现智力竞赛抢答计时器,这好比一名公正的裁判员,它的任务是从若干名参赛者中确定出最先的抢答者,并要求参赛者在规定的时间里回答完问题。
本设计实现的是三路抢答,其具有抢答,倒计时,及倒计时暂停功能等。
关键词:三人抢答器智力抢答
二.设计任务书
2.1 设计题目:
智力竞赛抢答计时器的设计。
2.2 技术要求:
1)设计一个三人参加的智力竞赛抢答计时器;
2)当有某一参赛者首先按下抢答开关时,相应
显示灯亮并伴有声响。
此时抢答器不再接收
其他输入信号;
3)电路具有回答问题时间的功能。
要求回答问
题的时间小于100秒(显示为0~99),时间显
示采用倒计时的方式,当达到限定时间时发
出声响以示警告。
2.3 给定条件及元器件:
1)要求电路主要选用中规模T T L集成电路C T74
系列;
2)电源电压为5V;
3)用LE D数码管显示时间。
2.4 设计内容:
1)电路各部分的组成和工作原理;
2)元器件的选取及其电路图和功能;
3)整机电路图;
4)元件明细表;
5)参考文献;
6)在设计过程中遇到何问题,其原因及解决办法
的心得体会。
三.电路的组成及工作原理
根据上面所说的功能要求,智力竞赛抢答计事系统的组成框图如下图所示。
它主要有六部分组成:
图1 智力竞赛抢答计时器系统组成框图
1)抢答器——是智力竞赛抢答器的核心。
当参
赛者的任意一位首先按下抢答开关时,抢答
器即刻接受该信号,指使相应发光二极管亮
(或音响电路发出声音),与此同时,封锁住
其他参赛者的输入信号。
2)清零装置——供比赛开始前裁判员使用。
它
能保证比赛前触发器统一清零,避免电路的
误动作和抢答过程的不公平。
3)显示、声响电路——比赛开始,当某一参赛
者按下抢答器开关时,触发器接受该信号,在封锁其他抢答信号的同时,使该路的发光二极管发出亮光和蜂鸣器发出声响,以引起人们的注意。
4)计时、显示、声响电路——是对抢答者回答
问题时间进行控制电路。
若规定回答为的时间小于100秒(显示为0~99),那么显示装置应该是一个二位数字显示的计数系统。
5)抢答控制器——由三个开关组成。
三名参赛
者各控制一个,拨动开关使相应控制端的信号为高电平或低电平。
6)振荡电路——它应该提供给抢答器、计时系
统和声响电路工作的控制脉冲。
四.元器件的选取及其引脚图和功能
4.1 抢答控制电路
该系统由三个开关A,B,C组成,分别由三名参赛者控制。
常态时开关接地,比赛时,按下开关,使该端为高电平。
4.2 抢答器
抢答器是由三个D型触发器和一个与非门组成。
它的工作原理是这样的:如果参赛者A首先按下开关,使该端的输入信号为高电平,触发器1的输入端D接收该信号使输出Q为高电平,相应的为低电平,这个低电平信号同时送到与非门G1的输入端,与非门G1被封锁,使触发器的控制脉冲CP信号由于与非门G1封锁而被拒之门外,触发器F B和F C因为不具备C P脉冲信号而不接收开关 B 和C控制端送入的信号(其他两种情况类同)。
因为该电路只接收第一个输入信号,即使此时其他参赛者也按下开关,但由于与非门已被封锁,信号是输入不进去的。
此部分使用芯片双D触发器74LS74,其引脚图及功能表如下:
引脚图
功能表
4.3 清零装置
为了保证电路正常工作,比赛开始前,裁判员都要将各触发器的状态统一清零。
本系统利用D触
发器的异步复位端来实现清零功能。
该触发器的异步复位端为,低电平用一个开关J控制,正常比赛时,使,均处于高电平,用=0实现复位
功能。
4.4 计时显示声响电路
当裁判按下开关J4时,是两个74L S192芯片的置位端为零,即输出输入端的信号,若设置为0101和0000,此时74LS74端输出分别也为0101和0000,与此相连的数码管将输出5和0,随着脉冲的输入,数码管将从50往下倒计时。
当有选手按下开关之后,与三个LE D灯相连的与非门输出使得74L S192芯片的清零端为1,即此时输出为00,当主持人按下开关J时,74L S192芯片的清零端部位1,数码管将不再保持输出00,又加之脉冲,计时将从99往下倒计时。
若主持人,按下J2开关,74LS192芯片的输入脉冲被与非门锁住,数码管显示将不再往下降,这就是暂停功能。
所用74L S192芯片的引脚图和功能表如下:
引脚图
功能表
用两片C C40192组成的一百进制减法计数器电路。
由功能表可以看出,要使初值分别为5、0,只需将两个芯片的输入端分别接为0000和0101。
要使电路实现倒计时(减法)功能,应使C R=0,=1,C P+=1,CP-=CP。
可用C R端接电平开关来控制计时器的工作与否。
声响显示电路需要在两种情况下做出反应:一种是当有参赛者按下抢答开关时,相应电路中的发光二极管亮,同时推动输出级的蜂鸣器发出声响;第二种情况是当裁判员给出“请回答”指令后,计时开始倒计时,若回答问题时间到达限定的时间,蜂鸣器发出声响。
显示电路由发光二极管与电阻串联而成,发光二极管正极接电源端,负极接D触发器的端。
当某参赛者按下开关,该触发器接收该使其输出Q端的状态为高电平,相应的端为低电平,就有电流流过发光二极管使它发亮。
计时系统的驱动显示电
路选用B CD-7段锁存译码/驱动器CC4511和七段数码管组成,其工作原理可参照“数字钟”的有关内容。
声响电路由两分组成:一是由门电路组成的控制电路,二是三级管驱动电路。
门控电路主要由或门组成,它的两个输入,一个来自抢答电路各触发器输出的与非,它说明只要有一为低电平,就使该与非门输出为高电平通过或门电路驱动蜂鸣器发声;另一个来自计时系统高位计数器的借位信号O B,它说明计时电路在99秒向98秒,97秒,…2秒,1秒,0秒倒计时再向99秒转化时向高位借位给出一个负脉冲经反相器得到一个高电平。
这个高电平信号也能使蜂鸣器发声,为了保证电路的可靠工作,也可采用与非门构成的基本RS触发器驱动。
置数连接方法
4.5 振荡电路
本系统需要产生两种频率的脉冲信号,一种是
频率为500K Hz的脉冲信号,用于触发器CP信号驱使芯片74L S74工作;第二种频率为1H z信号用于计时电路。
以上电路可用555定时器组成,也可用石英晶体组成的振荡器经过分频率得到。
在软件实现过程中,可以直接使用脉冲源。
五.整机电路图
六.元件明细表
1.电阻:1KΩ*3 5.1KΩ*1 3.3KΩ*33KΩ*2
2.开关*6
3.七段数码管*2
4.门电路:与非门*5或门*1反相器*1
5.脉冲源*2
6.L E D发光二极管*3
7.芯片:74L S74*274L S192*2
8.蜂鸣器*1
9.5v电源接地装置
七.总结
7.1 元件选择问题
开关:本系统中所需要的开关均是按下之后需要恢复的开关,因此要选择复位开关。
电阻:为了使二极管正常发光或熄灭,以及引脚正确呈现高低电平,需要使用合适的电阻。
这里,与二级管相连的电阻选择1千欧,与开关相连的电阻选择3千欧。
7.2 参数设定问题
信号源频率设定:倒计时电路中需要1秒倒计时倒计一次,因此信号设定为1H Z;在抢答电路中则需要较高频率,因此设定为50K HZ。
电源均设定为
5V。
历时一个星期的课程设计结束了,通过这次的课程设计,我的动手能力和经验有了一定程度的提高,在数字逻辑电路技术的理论知识上也有了更深的了解。
在调试的过程中,通过排除故障,我学到了不少知识,增长了许多经验,而这些都是书上学不到的。
巩固和运用了本学期的《数字电子技术基础》课程中所学的理论知识和实验技能,基本掌握了常用电子电路的一般设计方法,提高了设计能力和实验技能。
通过这一次的数字逻辑“抢答器”的课程设计,巩固了本学期数字逻辑课程的基本内容,对
于逻辑问题的分析及设计有了更进一步的掌握。
设计过程中,对于mu lt i s i m的操作有了进一步的掌握,以及对具体问题的分析,这些都是收获。
同时又发现自己的很多不足,自己知识有很多的漏洞,看到了自己的实践经验还是比较缺乏,理论联系实际的能力还急需提高。
这次由于我们的学业不精和时间等客观问题,未能使设计达到完善,还有很多缺点和错误,希望老师能提出改进意见,谢谢老师的辛勤栽培,今后我将更加努力。
八.参考文献
[1]阎石.数字电子技术基础.第五版.北京:高等教育出版社,2005.
[2]刘丽君,王晓燕.电子技术基础实验教程.南京:东南大学出版社,2008.10. [3]李维.数字电路课程设计及实验.大连:大连理工大学出版社,2008,9.
[4]许小军.数字电子技术实验与课程设计指导.南京:东南大学出版社,2007,5.。