当前位置:文档之家› 施密特触发器及相关的应用

施密特触发器及相关的应用

施密特触发器及相关的应用
施密特触发器及相关的应用

施密特触发器

第十三讲施密特触发器

内容提要

5.1 概述

5.2 施密特触发器

一、工作原理

1.特点:

2.电压传输特性

3.回差

4.逻辑符号

5.施密特触发器与门的工作波形图

6.集成施密特触发器的和的具体数值可从集成电路手册中查到。

二、施密特触发器的应用

5.3 多谐振荡器

5.3.1 对称多谐振荡器

一、电路结构

二、工作原理

三、振荡周期的估算

5.3.4 石英晶体多谐振荡器

5.4 单稳态触发器

5.4.1 微分型单稳态触发器

一、电路结构

二、工作原理

三、输出脉冲宽度的估算

5.4.2 集成单稳态触发器

一、输入脉冲触发方式

二、不可重复触发型与可重复触发型

三、参数计算

5.4.4 单稳态触发器的应用

一、脉冲整形

二、脉冲定时

三、脉冲展宽

内容提要

脉冲电路的研究重点:波形分析。

脉冲整形、变换电路:施密特触发器、单稳态触发器。

脉冲产生电路:多谐振荡器。对称式多谐振荡器、非对称式多谐振荡器、石英晶体振荡器。

5.1 概述

一、获得脉冲波形的方法主要有两种:

1.利用多谐振荡器直接产生符合要求的矩形脉冲;

2.是通过整形电路对已有的波形进行整形、变换,使之符合系统的要求。

二、施密特触发器:主要用以将非矩形脉冲变换成上升沿和下降沿都很陡峭的矩形脉冲。

三、单稳态触发器:主要用以将脉冲宽度不符合要求的脉冲变换成脉冲宽度符合要求的矩形脉冲。

5.2 施密特触发器

一、工作原理

1.特点:

⑴施密特触发器有两个稳定状态,其维持和转换完全取决于输入电压的大小。

⑵电压传输特性特殊,有两个不同的阈值电压(正向阈值电压和负向阈值电压)

⑶状态翻转时有正反馈过程,从而输出边沿陡峭的矩形脉冲。

2.电压传输特性

有两种情况:左图中输入与输出为反相关系,

右图中输入与输出为同相关系,

3.回差

可见:施密特触发器的电压传输特性具有滞后特性。

4.逻辑符号

左图中输入与输出为反相关系,又称作施密特触发器与非门

右图中输入与输出为同相关系,又称作施密特触发器与门

5.工作波形

为施密特触发器与门的波形,为施密特触发器与非门的波形

二、施密特触发器的应用

1.用于波形变换

三角波、正弦波及其它不规则信号→矩形脉冲。

图5.2.6所示为用施密特触发器将正弦波变换成同周期的矩形脉冲。

2.用于脉冲整形

当传输的信号受到干扰而发生畸变时,可利用施密特触发器的回差特性,将受到干扰的信号整形成较好的矩形脉冲,如图5.2.7所示。

3.用于脉冲幅度鉴别

如输入信号为一组幅度不等的脉冲,可将输入幅度大于的脉冲信号选出来,而幅度

小于

二、工作原理

该电路是利用RC电路的充、放电分别控制G1和G2的开通与关闭来实现自激振荡

的。

电路进入第二暂稳态。

宽度与间隔时间相等。

5.3.4 石英晶体多谐振荡器

前面介绍的多谐振荡器的一个共同特点就是振荡频率不稳定,容易受温度、电源电压波动和RC参数误差的影响。而在数字系统中,矩形脉冲信号常用作时钟信号来控制和协调整个系统的工作。因此,控制信号频率不稳定会直接影响到系统的工作,显然,前面讨论的多谐振荡器是不能满足要求的,必须采用频率稳定度很高的石英

晶体多谐振荡器。

石英晶体具有很好的选频特性。当振荡信号的频率和石英晶体的固有谐振频率相同时,石英晶体呈现很低的阻抗,信号很容易通过,而其它频率的信号则被衰减掉。

因此,将石英晶体串接在多谐振荡器的回路中就可组成石英晶体振荡器,这时,振荡频率只取决于石英晶体的固有谐振频率f0,而与RC无关。

5.4 单稳态触发器

工作特点:

1.有一个稳定状态和一个暂稳态。

2.在外加触发脉冲作用下,电路从稳定状态翻转到暂稳态。

3.经一段时间后,又自动返回到原来的稳定状态。而且暂稳态时间的长短完全取决于电路本身的参数,与外加触发脉冲没有关系。

例:楼道的路灯

5.4.1 微分型单稳态触发器

一、电路结构

二、工作原理

三、输出脉冲宽度的估算

输出脉冲宽度:暂稳态维持的时间,用tw 表示。

tw≈0.7 RC

在使用微分型单稳态触发器时,输入触发脉冲u1 的宽度tw1 应小于输出脉冲的宽度tw ,即tw1<tw ,否则电路不能正常工作。

如出现tw1 >tw 的情况时,可在触发信号源uI和G1输入端之间接入一个RC微

分电路。

5.4.2 集成单稳态触发器

一、输入脉冲触发方式

正跃变触发

负跃变触发

二、不可重复触发型与可重复触发型

态时间的基础上再展宽tw 。如图5.4.4(b)所示。

因此,采用可重复触发单稳态触发器时能比较方便地得到持续时间更长的输出脉冲

宽度。

三、参数计算

单稳态触发器CT74121的输出脉冲宽度tw 可用下式进行估算

tw≈0.7RextCext

对于CT74121,Rext 的取值范围为2~40kΩ;

对于CT54121,Rext 的取值范围为2~30kΩ。

Cext的一般取值范围为10 pF~10 μF,

在要求不高的情况下,Cext 的最大值可达1 000 μF。

在输出脉冲宽度不大时,可利用CT74121内部电阻Rint=2 kΩ取代Rext ,这样,可以简化外部接线。当要求输出脉冲宽度较大时,仍需采用外接电阻Rext 。

表5.4.1 CT74121的功能表

教材中图5.4.6所示为单稳态触发器CT74121的工作波形。由该图可看出,如在暂稳态期间(即tw 内)再次进行触发时,对暂稳态时间没有影响。因此,输出脉冲宽度tw 不会改变,它只取决于Rext 和Cext 的大小,而与触发脉冲无关。因此,C

T74121为不可重复单稳态触发器。

5.4.4 单稳态触发器的应用

一、脉冲整形

脉冲信号在经过长距离传输后其边沿会变差或在波形上叠加了某些干扰。

为了使这些脉冲信号变成符合要求的波形,可利用单稳态触发器进行整形。

二、脉冲定时

由于单稳态触发器可输出宽度和幅度符合要求的矩形脉冲,因此,可利用它作定时

电路。

在图5.4.8(a)所示定时电路中,单稳态触发器输出的脉冲uc 可作为与门G开通时

间的控制信号。

只有在输出为高电平期间,与门G打开,uB 才能通过与门G,这时,输出u0 =u

B ,与门G打开的时间,完全由单稳态触发器决定。

而在uc 为低电平0时,与门G关闭,uB 不能通过。

三、脉冲展宽

当输入脉冲宽度较窄时,则可用单稳态触发器展宽。

图5.4.9(a)所示为利用CT74121组成的脉冲展宽电路。

只要合理选用Rext和Cext 值,可输出宽度符合要求的矩形脉冲。

图5.4.9(b)为工作波形。

施密特触发器工作原理

使用CMOS集成电路需注意的几个问题 集成电路按晶体管的性质分为TTL和CMOS两大类,TTL以速度见长,CMOS以功耗低而著称,其中CMOS电路以其优良的特性成为目前应用最广泛的集成电路。在电子制作中使用CMOS集成电路时,除了认真阅读产品说明或有关资料,了解其引脚分布及极限参数外,还应注意以下几个问题: 1、电源问题 (1)CMOS集成电路的工作电压一般在3-18V,但当应用电路中有门电路的模拟应用(如脉冲振荡、线性放大)时,最低电压则不应低于4.5V。由于CMOS集成电路工作电压宽,故使用不稳压的电源电路CMOS集成电路也可以正常工作,但是工作在不同电源电压的器件,其输出阻抗、工作速度和功耗是不相同的,在使用中一定要注意。 (2)CMOS集成电路的电源电压必须在规定围,不能超压,也不能反接。因为在制造过程中,自然形成许多寄生二极管,如图1所示为反相器电路,在正常电压下,这些二极管皆处于反偏,对逻辑功能无影响,但是由于这些寄生二极管的存在,一旦电源电压过高或电压极性接反,就会使电路产生损坏。 2、驱动能力问题 CMOS电路的驱动能力的提高,除选用驱动能力较强的缓冲器来完成之外,还可将同一个芯片几个同类电路并联起来提高,这时驱动能力提高到N倍(N为并联门的数量)。如图2所示。 3、输入端的问题 (1)多余输入端的处理。CMOS电路的输入端不允许悬空,因为悬空会使电位不定,破坏正常的逻辑关系。另外,悬空时输入阻抗高,易受外界噪声干扰,使电路产生误动作,而且也极易造成栅极感应静电而击穿。所以“与”门,“与非”门的多余输入端要接高电平,“或”门和“或非”门的多余输入端要接低电平。若电路的工作速度不高,功耗也不需特别考虑时,则可以将多余输入端与使用端并联。 (2)输入端接长导线时的保护。在应用中有时输入端需要接长的导线,而长输入线必然有较大的分布电容和分布电感,易形成LC振荡,特别当输入端一旦发生负电压,极易破坏CMOS中的保护二极管。其保护办法为在输入端处接一个电阻,如图3所示,R=VDD/1mA。 (3)输入端的静电防护。虽然各种CMOS输入端有抗静电的保护措施,但仍需小心对待,在存储和运输中最好用金属容器或者导电材料包装,不要放在易产生静电高压的化工材料或化纤织物中。组装、调试时,工具、仪表、工作台等均应良好接地。要防止操作人员的静电干扰造成的损坏,如不宜穿尼龙、化纤衣服,手或工具在接触集成块前最好先接一下地。对器件引线矫直弯曲或人工焊接时,使用的设备必须良好接地。 (4)输入信号的上升和下降时间不易过长,否则一方面容易造成虚假触发而导致器件失去正常功能,另一方面还会造成大的损耗。对于74HC系列限于0.5us以。若不满足此要求,需用施密特触发器件进行输入整形,整形电路如图4所示。 (5)CMOS电路具有很高的输入阻抗,致使器件易受外界干扰、冲击和静电击穿,所以为了保护CMOS管的氧化层不被击穿,一般在其部输入端接有二极管保护电路,如图5所示。 其中R约为1.5-2.5KΩ。输入保护网络的引入使器件的输入阻抗有一定下降,但仍在108Ω以上。这样也给电路的应用带来了一些限制: (A)输入电路的过流保护。CMOS电路输入端的保护二极管,其导通时电流容限一般为1mA在可能出现过大瞬态输入电流(超过10mA)时,应串接输入保护电阻。例如,当输入端接的信号,其阻很小、或引线很长、或输入电容较大时,在接通和关断电源时,就容易产生较大的瞬态输入电流,这时必须接输入保护电阻,若VDD=10V,则取限流电阻为10KΩ即可。 (B)输入信号必须在VDD到VSS之间,以防二极管因正向偏置电流过大而烧坏。因此在

斯密特触发器概论

斯密特触发器 斯密特触发器又称斯密特与非门,是具有滞后特性的数字传输门. ①电路具有两个阈值电压,分别称为正向阈值电压和负向阈值电压②与双稳态触发器和单稳态触发器不同,施密特触发器属于"电平触发"型电路,不依赖于边沿陡峭的脉冲.它是一种阈值开关电路,具有突变输入——输出特性的门电路.这种电路被设计成阻止输入电压出现微小变化(低于某一阈值)而引起的输出电压的改变.当输入电压由低向高增加,到达V+时,输出电压发生突变,而输入电压Vi由高变低,到达V-,输出电压发生突变,因而出现输出电压变化滞后的现象,可以看出对于要求一定延迟启动的电路,它是特别适用的.从IC内部的逻辑符号和“与非”门的逻辑符号相比略有不同,增加了一个类似方框的图形,该图形正是代表斯密特触发器一个重要的滞后特性。当把输入端并接成非门时,它们的输入、输出特性是:当输入电压V1上升到VT+电平时,触发器翻转,输出负跳变;过了一段时间输入电压回降到VT+电平时,输出并不回到初始状态而需输入V1继续下降到VT-电平时,输出才翻转至高电平(正跳变),这种现象称它为滞后特性,VT+—VT-=△VT。△VT称为斯密特触发器的滞后电压。△VT与IC的电源电压有关,当电源电压提高时,△VT略有增加,一般△VT 值在3V左右。因斯密特触发器具有电压的滞后特性,常用它对脉冲波形整形,使波形的上升沿或下降沿变得陡直;还可以用它作电压幅度鉴别。在数字电路中它也是很常用的器件。 施密特触发器 施密特波形图 施密特触发器也有两个稳定状态,但与一般触发器不同的是,施密特触发器采用电位触发方式,其状态由输入信号电位维持;对于负向递减和正向递增两种不同变化方向的输入信号,施密特触发器有不同的阀值电压。 门电路有一个阈值电压,当输入电压从低电平上升到阈值电压或从高电平下降到阈值电压时电路的状态将发生变化。施密特触发器是一种特殊的门电路,与普通的门电路不同,施密特触发器有两个阈值电压,分别称为正向阈值电压和负向阈值电压。在输入信号从低电平上升到高电平的过程中使电路状态发生变化的输入电压称为正

正反相施密特触发器电路的工作原理详解

正反相施密特触发器电路的工作原理详解 什么叫触发器 施密特触发电路(简称)是一种波形整形电路,当任何波形的信号进入电路时,输出在正、负饱和之间跳动,产生方波或脉波输出。不同于比较器,施密特触发电路有两个临界电压且形成一个滞后区,可以防止在滞后范围内之噪声干扰电路的正常工作。如遥控接收线路,传感器输入电路都会用到它整形。 施密特触发器 一般比较器只有一个作比较的临界电压,若输入端有噪声来回多次穿越临界电压时,输出端即受到干扰,其正负状态产生不正常转换,如图1所示。 图1 (a)反相比较器 (b)输入输出波形 施密特触发器如图2 所示,其输出电压经由R1、R2分压后送回到运算放大器的非反相输入端形成正反馈。因为正反馈会产生滞后(Hysteresis)现象,所以只要噪声的大小在两个临界电压(上临界电压及下临界电压)形成的滞后电压范围内,即可避免噪声误触发电路,如表1 所示 图2 (a)反相斯密特触发器 (b)输入输出波形

表1 反相施密特触发器 电路如图2 所示,运算放大器的输出电压在正、负饱和之间转换: νO= ±Vsat。输出电压经由R1 、R2分压后反馈到非反相输入端:ν+= βνO, 其中反馈因数= 当νO为正饱和状态(+Vsat)时,由正反馈得上临界电压 当νO为负饱和状态(- Vsat)时,由正反馈得下临界电压 V TH与V TL之间的电压差为滞后电压:2R1 图3 (a)输入、输出波形 (b)转换特性曲线 输入、输出波形及转换特性曲线如图3(b)所示。

当输入信号上升到大于上临界电压V TH时,输出信号由正状态转变为 负状态即:νI >V TH→νo = - Vsat 当输入信号下降到小于下临界电压V TL时,输出信号由负状态转变为 正状态即:νI <V TL→νo = + Vsat 输出信号在正、负两状态之间转变,输出波形为方波。 非反相施密特电路 图4 非反相史密特触发器 非反相施密特电路的输入信号与反馈信号均接至非反相输入端,如图4所示。 由重迭定理可得非反相端电压 反相输入端接地:ν-= 0,当ν+ = ν- = 0时的输入电压即为临界电压。将ν+ = 0代入上式得 整理后得临界电压 当νo为负饱和状态时,可得上临界电压 当νo为正饱和状态时,可得下临界电压, V TH与V TL之间的电压差为滞后电压:

施密特触发器的特性和应用

施密特触发器的特性和应用 施密特触发器也有两个稳定状态,但与一般触发器不同的是,施密特触发器采用电位触发方式,其状态由输入信号电位维持;对于负向递减和正向递增两种不同变化方向的输入信号,施密特触发器有不同的阀值电压。见图6-2: 解释:当输入信号Vi减小至低于负向阀值V-时,输出电压Vo翻转为高电平Vo H;而输入信号Vi增大至高于正向阀值V+时,输出电压Vo才翻转为低电平VoL。这种滞后的电压传输特性称回差特性,其值V+-V-称为回差电压。 一、用555定时器构成的施密特触发器 1.电路组成: 将555定时器的阀值输入端Vi1(6脚)、触发输入端Vi2(2脚)相连作为输入端Vi,由Vo(3脚)或Vo’(7脚)挂接上拉电阻Rl及电源VDD作为输出端,便构成了如图6-3所示的施密特触发器电路。

2.工作原理: 如图所示,输入信号Vi,对应的输出信号为Vo,假设未接控制输入Vm 。 ①当Vi=0V时,即Vi1<2/3Vcc、Vi2<1/3Vcc,此时Vo=1。以后Vi逐渐上升,只要不高于阀值电压(2/3Vcc),输出Vo维持1不变。 ②当Vi上升至高于阀值电压(2/3Vcc)时,则Vi1>2/3Vcc、Vi2>1/3Vcc,此时定时器状态翻转为0,输出Vo=0,此后Vi继续上升,然后下降,只要不低于触发电位(1/3Vcc),输出维持0不变。 ③当Vi继续下降,一旦低于触发电位(1/3Vcc)后,Vi1<2/3Vcc、Vi2<1/3V cc,定时器状态翻转为1,输出Vo=1。 总结:未考虑外接控制输入Vm时,正负向阀值电压 V+=2/3Vcc、V- =1/3Vcc,回差电压△V=1/3Vcc。若考虑Vm,则正负向阀值电压V+=Vm、V-=1/2Vm,回差电压△V=1/2Vm。由此,通过调节外加电压Vm可改变施密特触发器的回差电压特性,从而改变输出脉冲的宽度。 二、施密特触发器的应用举例 1.波形变换: 施密特触发器可用以将模拟信号波形转换成矩形波,如图6-4所示将正弦波信号同相转换成矩形波的例子,输出脉冲宽度tpo可通过回差电压加以调节。

施密特触发器原理简介

施密特触发器简单介绍 本文来自: https://www.doczj.com/doc/fe16082157.html, 原文网址:https://www.doczj.com/doc/fe16082157.html,/sch/test/0083158.html 我们知道,门电路有一个阈值电压,当输入电压从低电平上升到阈值电压或从高电平下降到阈值电压时电路的状态将发生变化。施密特触发器是一种特殊的门电路,与普通的门电路不同,施密特触发器有两个阈值电压,分别称为正向阈值电压和负向阈值电压。在输入信号从低电平上 升到高电平的过程中使电路状态发生变化的输入电压称为正向阈值电压(),在输入信号从 高电平下降到低电平的过程中使电路状态发生变化的输入电压称为负向阈值电压()。正向 阈值电压与负向阈值电压之差称为回差电压()。普通门电路的电压传输特性曲线是单调的,施密特触发器的电压传输特性曲线则是滞回的[图6.2.2(a)(b)]。 图6.2.1 用CMOS反相器构成的施密特触发器 (a)电路(b)图形符号

图6.2.2 图6.2.1电路的电压传输特性 (a)同相输出(b)反相输出 用普通的门电路可以构成施密特触发器[图6.2.1]。因为CMOS门的输入电阻很高,所以 的输入端可以近似的看成开路。把叠加原理应用到和构成的串联电路上,我们可以推导出 这个电路的正向阈值电压和负向阈值电压。当时,。当从0逐渐上升到时, 从0上升到,电路的状态将发生变化。我们考虑电路状态即将发生变化那一时刻的情况。 因为此时电路状态尚未发生变化,所以仍然为0,, 于是,。与此类似,当时,。当从逐渐下降到 时,从下降到,电路的状态将发生变化。我们考虑电路状态即将发生变化那一时刻 的情况。因为此时电路状态尚未发生变化,所以仍然为, ,于是, 。通过调节或,可以调节正向阈值电压和反向阈值电压。不过,这个 电路有一个约束条件,就是。如果,那么,我们有及

施密特触发器

符号 电路图中的施密特触发器符号是一个三角中画有一个反相或非反相滞回符号。这一符号描绘了对应的理想滞回曲线。 非反相施密特触发器 反相施密特触发器

因此V in必须降低到低于时,输出才会翻转状态。一旦比较器的输出翻转到?V S,翻转回高电平的阈值就变成了。 非反相施密特比较器典型的滞回曲线,与其符号上的曲线一致,M是电源电压,T是阈值电压 这样,电路就形成了一段围绕原点的翻转电压带,而触发电平是。只有当输入 电压上升到电压带的上限,输出才会翻转到高电平;只有当输入电压下降到电压带的下限,输出才会翻转回低电平。若R1为0,R2为无穷大(即开路),电压带的宽度会压缩 到0,此时电路就变成一个标准比较器。输出特性如右图所示。阈值T由给出,输出M的最大值是电源轨。 实际配置的非反相施密特触发电路如下图所示。

反相施密特触发器的滞回曲线

上述电路满足如下关系: 其中U1和U2是阈值电压,U v是电源电压。 [编辑]两个晶体管实现的施密特触发器 在使用正反馈配置实现的施密特触发器中,比较器自身可以实现的大部分复杂功能都没有使用。因此,电路可以用两个交叉耦合的晶体管来实现(即晶体管可以用另外一种方式来实现输入级)。基于2个晶体管的施密特触发电路如下图所示。通路R K1 R1 R2设定了晶体管T2的基极电压,不过,这一分压通路会受到晶体管T1的影响,如果T1开路,通路将会提供更高的电压。因此,在两个状态间翻转的阈值电压取决于触发器的现态。

对于如上所示的NPN晶体管,当输入电压远远低于共射极电压时,T1不会导通。晶体管T2的基极电压由上述分压电路决定。由于接入负反馈,共射极上所加的电压必须几乎与分压电路上所确定的电压几乎一样高,这样就能使T2导通,并且触发器的输出是低电平状态。当输入电压(T1基极电压)上升到比电阻R E上的电压(射极电压)稍高时,T1将会导通。当T1开始导通时,T2不再导通,因为此时分压通路提供的电压低于T2基极电压,而射极电压不会降低,因为T1此时消耗通过R E的电流。此时T2不导通,触发器过渡到高电平状态。 此时触发器处于高电平状态,若输入电压降低得足够多,则通过T1的电流会降低,这会降低T2的共射极电压并提高其基极电压。当T2开始导通时,R E上的电压上升,然后会降低T1的基极-射极电位,T1不再导通。 在高电平状态时,输出电压接近V+;但在低电平状态时,输出电压仍会远远高于V?。因此在这种情况下,输出电压不够低,无法达到逻辑低电平,这就需要在触发器电路上附加放大器。 上述电路可以被简化:R1可以用短路连接代替,这样T2基极就直接连接到T1集电极,R2可以去掉并以开路代替。电路运行的关键是当T1接通(电流输入基极的结果)时,通过R E的电流比T1截止时小,因为T1导通时会使T2截止,而当T2导通时,相比T1会为R E提供更大的通过电流。当流入R E的电流减小时,其上的电压会降低,因此一旦电流开始流入T1,输入电压一定会降低以使T1回到截止状态,这是因为此时T1的射极电压已降低。这一施密特触发缓冲器也可以变成一个施密特触发反相器,而且在此过程中还能省去一个电阻,方法是将R K2以短接代替,并将V out连接到T2射极而不是集电极。不过在这种情况下,R E的阻值应该更大,因为此时R E要充当输出端的下拉电阻,作用是当输出应该为低电平时,其会降低输出端的电压。若R E的阻值较小,其上只能产生一个较小的电压,在输出应该为数字低电平时,这一电压实际上会提高输出电压。 [编辑]应用 施密特触发器在开环配置中常用于抗扰,在闭环正反馈配置中用于实现多谐振荡器。[编辑]抗扰 施密特触发器的一个应用是增强仅有单输入阈值的电路的抗扰能力。由于只有一个输入阈值,阈值附近的噪声输入信号会导致输出因噪声来回地快速翻转。但是对于施密特触发器,阈值附近的噪声输入信号只会导致输出值翻转一次,若输出要再次翻转,噪声输入信号必须达到另一阈值才能实现,这就利用了施密特触发器的回差电压来提高电路的抗干扰能力。 例如,在仙童半导体公司的QSE15x红外光电传感器家族[3]中,放大式红外光电二极管能产生电信号使频率在绝对最高值和绝对最低值间翻转。这种电信号经过低通滤波后能产生平滑信号,而这种平滑信号的上升和下降与翻转信号为开启或关闭所需时间的相对量一致。滤波后的输出传递到施密特触发器的输入。实际结果是施密特触发器的输出只从

施密特触发器和比较器的区别

施密特触发器原理图解详细分析 重要特性:施密特触发器具有如下特性:输入电压有两个阀值VL、VH,VL 施密特触发器通常用作缓冲器消除输入端的干扰。 施密特波形图 施密特触发器也有两个稳定状态,但与一般触发器不同的是,施密特触发器采用电位触发方式,其状态由输入信号电位维持;对于负向递减和正向递增两种不同变化方向的输入信号,施密特触发器有不同的阀值电压。 门电路有一个阈值电压,当输入电压从低电平上升到阈值电压或从高电平下降到阈值电压时电路的状态将发生变化。施密特触发器是一种特殊的门电路,与普通的门电路不同,施密特触发器有两个阈值电压,分别称为正向阈值电压和负向阈值电压。在输入信号从低电平上升到高电平的过程中使电路状态发生变化的输入电压称为正向阈值电压,在输入信号从高电平下降到低电平的过程中使电路状态发生变化的输入电压称为负向阈值电压。正向阈值电压与负向阈值电压之差称为回差电压。 它是一种阈值开关电路,具有突变输入——输出特性的门电路。这种电路被设计成阻止输入电压出现微小变化(低于某一阈值)而引起的输出电压的改变。 利用施密特触发器状态转换过程中的正反馈作用,可以把边沿变化缓慢的周期性信号变换为边沿很陡的矩形脉冲信号。输入的信号只要幅度大于vt+,即可在施密特触发器的输出端得到同等频率的矩形脉冲信号。 当输入电压由低向高增加,到达V+时,输出电压发生突变,而输入电 压Vi由高变低,到达V-,输出电压发生突变,因而出现输出电压变化滞后的现象,可以看出对于要求一定延迟启动的电路,它是特别适用的. 从传感器得到的矩形脉冲经传输后往往发生波形畸变。当传输线上的电容较大时,波形的上升沿将明显变坏;当传输线较长,而且接受端的阻抗与传输

用定时器构成的施密特触发器

施密特触发器也有两个稳定状态,但与一般触发器不同的是,施密特触发器采用电位触发方式,其状态由输入信号电位维持;对于负向递减和正向递增两种不同变化方向的输入信号,施密特触发器有不同的阀值电压。见图6-2: 解释:当输入信号Vi减小至低于负向阀值时,输出电压Vo翻转为高电平VoH;而输入信号Vi增大至高于正向阀值时,输出电压Vo才翻转为低电平VoL。这种滞后的电压传输特性称回差特性,其值- 称为回差电压。 一、用555定时器构成的施密特触发器 1.电路组成: 将555定时器的阀值输入端Vi1(6脚)、触发输入端Vi2(2脚)相连作为输入端Vi,由Vo(3脚)或Vo’(7脚)挂接上拉电阻Rl及电源VDD作为输出端,便构成了如图6-3所示的施密特触发器电路。 2.工作原理:如图所示,输入信号Vi,对应的输出信号为Vo,假设未接控制输入Vm 。 ①当Vi=0V时,即Vi1<2/3Vcc、Vi2<1/3Vcc,此时Vo=1。以后Vi逐渐上升,只要不高于阀值电压(2/3Vcc),输出Vo维持1不变。 ②当Vi上升至高于阀值电压(2/3Vcc)时,则Vi1>2/3Vcc、Vi2>1/3Vcc,此时定时器状态翻转为0,输出Vo=0,此后Vi继续上升,然后下降,只要不低于触发电位(1/3Vcc),输出维持0不变。 ③当Vi继续下降,一旦低于触发电位(1/3Vcc)后,Vi1<2/3Vcc、Vi2<1/3Vcc,定时器状态翻转为1,输出Vo=1。

总结:未考虑外接控制输入Vm时,正负向阀值电压=2/3Vcc、=1/3Vcc,回差电压△V=1/3Vcc。若考虑Vm,则正负向阀值电压=Vm、=1/2Vm,回差电压△V=1/2Vm。由此,通过调节外加电压Vm 可改变施密特触发器的回差电压特性,从而改变输出脉冲的宽度。 二、施密特触发器的应用举例 1.波形变换: 施密特触发器可用以将模拟信号波形转换成矩形波,如图6-4所示将正弦波信号同相转换成矩形波的例子,输出脉冲宽度tpo可通过回差电压加以调节。 2.波形整形 若数字信号在传输过程中受到干扰变成如图6-5(a)所示的不规则波形, 可利用施密特触发器的回差特性将它整形成规则的矩形波。若负向阀值取为,则回差电压。整形后输出波形如图6-5(b)所示。由于输入信号的干扰在输出中表现为三个矩形脉冲,这是错误的。若减小负向阀值取为,则回差电压。此时整形后输出波形如图6-5(c)所示,消去了干扰。 3.幅度鉴别: 施密特触发器的翻转取决于输入信号是否高于或低于,利用此特性可以构成幅度鉴别器,用以从一串脉冲中检出符合幅度要求的脉冲。如图6-6所示,当输入脉冲大于时,施密特触发器翻转,输出端

用施密特触发器对脉冲整形

我们知道,门电路有一个阈值电压,当输入电压从低电平上升到阈值电压或从高电平下降到阈值电压时电路的状态将发生变化。施密特触发器是一种特殊的门电路,与普通的门电路不同,施密特触发器有两个阈值电压,分别称为正向阈值电压和负向阈值电压。在输入信号从低电平上升到高电平的过程中使电路状 态发生变化的输入电压称为正向阈值电压(),在输入信号从高电平下降到低电平的过程中使电路状态发生变化的输入电压称为负向阈值电压()。正 向阈值电压与负向阈值电压之差称为回差电压()。普通门电路的电压传输特性曲线是单调的,施密特触发器的电压传输特性曲线则是滞回的[图 6.2.2(a)(b)]。 图6.2.1 用CMOS反相器构成的施密特触发器 (a)电路(b)图形符号 图6.2.2 图6.2.1电路的电压传输特性 (a)同相输出(b)反相输出

用普通的门电路可以构成施密特触发器[图6.2.1]。因为CMOS门的输入电阻很高,所以的输入端可以近似的看成开路。把叠加原理应用到和构成的串联电路上,我们可以推导出这个电路的正向阈值电压和负向阈值电压。当时,。当从0逐渐上升到时,从0上升到,电路的状态将发生变化。我们考虑电路状态即将发生变化那一时刻的情况。因为此时电路状 态尚未发生变化,所以仍然为0,,于是, 。与此类似,当时,。当从逐渐下降到时,从下降到,电路的状态将发生变化。我们考虑电路状态即将发生变化那一时刻的情况。因为此时电路状态尚未发生变化,所以仍然为,,于是, 。通过调节或,可以调节正向阈值电压和反向阈值电压。不过,这个电路有一个约束条件,就是。如果,那么,我们有 及,这说明,即使上升到或下降到0,电路的状态也不会发生变化,电路处于“自锁状态”,不能正常工作。

(最新经营)单稳态触发器与施密特触发器原理及应用

CD4047BE 单稳态触发器原理及应用 多谐振荡器是一种自激振荡电路。因为没有稳定的工作状态,多谐振荡器也称为无稳态电路。具体地说,如果一开始多谐振荡器处于0状态,那么它于0状态停留一段时间后将自动转入1状态,于1状态停留一段时间后又将自动转入0状态,如此周而复始,输出矩形波。 图6.4.1对称式多谐振荡器电路 对称式多谐振荡器是一个正反馈振荡电路[图6.4.1,]。和是两个反相器,和是两个耦合电容,和是两个反馈电阻。只要恰当地选取反馈电阻的阻值,就可以使反相器的静态工作点位于电压传输特性的转折区。上电时,电容器两端的电压和均为0。假设某种扰动使有微小的正跳变,那么经过一个正反馈过程,迅速跳变为,迅速跳变为,迅速跳变为,迅速跳变为,电路进入第一个暂稳态。电容和开始充电。的充电电流方向与参考方向相同, 正向增加;的充电电流方向与参考方向相反,负向增加。随着的正向增加,从逐渐上升;随着的负向增加,从逐渐下降。因为经和两条支路充电而经一条支路充电,所以充电速度较快,上升到时还没有下降到。上升到使跳变为。理论上,向下跳变,也将向下跳变。考虑到输入端钳位二极管的影响,最多跳变到。下降到使跳变为,这又使从向上跳变,即变成,电路进入第二个暂稳态。经一条支路反向充电(实际上先放电再

反向充电),逐渐下降。经和两条支路反向充电(实际上先放电再反向充电),逐渐 上升。的上升速度大于的下降速度。当上升到时,电路又进入第一个暂稳态。此后,电路 将于两个暂稳态之间来回振荡。 非对称式多谐振荡器是对称式多谐振荡器的简化形式[图6.4.6]。这个电路只有一个反馈电阻和一个耦合电容。反馈电阻使的静态工作点位于电压传输特性的转折区,就是说,静态时,的输入电 平约等于,的输出电平也约等于。因为的输出就是的输入,所以静态时也被迫工 作于电压传输特性的转折区。 图6.4.6非对称是多谐振荡器电路 环形振荡器[图6.4.10]不是正反馈电路,而是一个具有延迟环节的负反馈电路。 图6.4.10最简单的环形振荡器

数字电路练习题及答案--施密特触发器

一、简答题: 1、获取矩形脉冲波形的途径有哪两种? (1)一种方法是利用各种形式的多谐振荡器电路直接产生所需要的矩形脉冲。(2)另一种方法是通过各种整形电路把已有的周期性变化波形变换为符合要求的矩形脉冲。其前提条件是,能够找到频率和幅度都符合要求的一种已有的电压信号。 2、施密特触发器在性能上有哪两个重要特点? (1)输入信号从低电平上升的过程中,电路状态转换时对应的输入电平,与输入信号从高电平下降过程中对应的输入转换电平不同。 (2)在电路状态转换时,通过电路内部的正反馈过程使输出电压波形的边沿变得很陡。 3、施密特触发器有哪些用途? (1)可以将边沿变化缓慢的信号波形整型为边沿陡峭的矩形波。 (2)可以将叠加在矩形脉冲高、低电平上的噪声有效地清除。 4、单稳态触发器的工作特性具有哪些显著特点? (1)它具有稳态和暂稳态两个不同的工作状态。 单稳只有一个稳定的状态。这个稳定状态要么是0,要么是1。在没有受到外界触发脉冲作用的情况下,单稳态触发器保持在稳态; (2)在外界触发脉冲作用下,能从稳态翻转到暂稳态,(假设稳态为0,则暂稳态为1)。在暂稳态维持一段时间以后,再自动返回稳态。 (3)单稳态触发器在暂稳态维持的时间长短仅仅取决于电路本身的参数,与触发脉冲的宽度和幅度无关。 二、计算题:

1、如图所示为一个用CMOS 门电路构成的施密特触发器,已知电源电压为10V , Ω=k R 101;Ω=k R 202;求其正向阈值电压、负向阈值电压及回差电压。(本题 6分) 解: (1)正向阈值电压为:(2分) (2)负向阈值电压为:(2分) (3)回差电压为:(2分) 解: (1)正向阈值电压为:V V R R V TH T 5.7210 )20101()1(21=+=+ =+(2分) (2)负向阈值电压为:V V R R V TH T 5.22 10 )20101()1(21=-=- =-(2分) (3)回差电压为:V V V V V V T T T 55.25.7=-=-=?-+(2分) 2、在图示的施密特触发器电路中,若G1和G2为74LS 系列与非门和反相器,它

17集成施密特触发器应用实验

数字电路-17 集成施密特触发器应用实验 一. 实验目的 1. 了解用示波器测试集成数字器件电压传输特性的方法。 2. 掌握集成施密特触发器的几种典型应用。 二. 实验原理 施密特触发器主要用于将随时间变化缓慢的非周期信号或周期性的非矩形波信号变换成上升时间和下降时间均很小的矩形波信号。 当输入u i 小于负向阀值电平U T-时,反相施密特触发器输出为“1”,当u i 大于正向阀值电平U T+时,施密特触发器输出为“0”。U i 介于两者之间时,施密特触发器的状态保持不变。所以,触发器的电压传输关系具有滞回特性,两个阀值电平之差称回差ΔU T 。 在电子系统中,施密特触发器具有广泛的应用。根据施密特触发器的滞回特性,可以将输入的三角波,正弦波和其他不规则的周期性电压信号转变成矩形信号输出。当电信号在传输过程中受到干扰而发生畸变时,可利用施密特触发器的回差特性对信号进行整形。当输入信号为一组幅度不等的脉冲时,可利用施密特触发器对输入信号的幅度进行鉴别,只有幅度达到施密特触发器阀值电平的信号,才能引起输出变化。 1. 用施密特触发器构成多谐振荡器 图17-1是用反相施密特触发器构成的多谐振荡电路。当输出u O 为高电平时,输入u c ≤U T+,施密特触发器的输出通过电阻R 向电容C 充电,u c 上升。至Uc 等于U T+,输出u O 变为低电平U OL 。然后电容通过电阻R 、施密特触发器输出端放电,u c 下降。在 +-<

施密特触发器

施密特触发器 一、基本概念: 在电子学中,施密特触发器(英语:Schmitt trigger)是包含正反馈的比较器电路。 正反馈:是指受控部分发出反馈信息,其方向与控制信息一致,可以促进或加强控制部分的活动。台湾地区又叫正回馈。 负反馈:负反馈(英语:negative feedback),在台湾称作负回馈,又称负回授,是反馈的一种。是指系统的输出会 影响系统的输入,在输出变动时,所造成的影响恰和原 来变动的趋势相反;反之,就称为正反馈。 将一个系统的输出信号的一部分或全部以一定方式和路径送回到系统的输入端作为输入信号的一部分,这个作用过程叫——反馈。按反馈的信号极性分类,反馈可分为正反馈和负反馈。 若反馈信号与输入信号极性相同或变化方向同相,则两种信号混合的结果将使放大器的净输入信号大于输出信号,这种反馈叫正反馈。正反馈主要用于信号产生电路。反之,反馈信号与输入信号极性相反或变化方向相反(反相),则叠加的结果将使净输入信号减弱,这种反馈叫负反馈放大电路和自动控制系统通常采用负反馈技术以稳定系统的工作状态。 比较器:对两个或多个数据项进行比较,以确定它们是否相等,或确定它们之间的大小关系及排列顺序称为比较。能 够实现这种比较功能的电路或装置称为比较器。比较 器是将一个模拟电压信号与一个基准电压相比较的电 路。比较器的两路输入为模拟信号,输出则为二进制 信号,当输入电压的差值增大或减小时,其输出保持

恒定。 门电路:用以实现基本逻辑运算和复合逻辑运算的单元电路称为门电路。常用的门电路在逻辑功能上有与门、或门、 非门、与非门、或非门、与或非门、异或门等几种。 对于标准施密特触发器,当输入电压高于正向阈值电压,输出为高;当输入电压低于负向阈值电压,输出为低;当输入在正负向阈值电压之间,输出不改变,也就是说输出由高电准位翻转为低电准位,或是由低电准位翻转为高电准位对应的阈值电压是不同的。只有当输入电压发生足够的变化时,输出才会变化,因此将这种元件命名为触发器。这种双阈值动作被称为迟滞现象,表明施密特触发器有记忆性。从本质上来说,施密特触发器是一种双稳态多谐振荡器。 门电路有一个阈值电压,当输入电压从低电平上升到阈值电压或从高电平下降到阈值电压时电路的状态将发生变化。施密特触发器是一种特殊的门电路,与普通的门电路不同,施密特触发器有两个阈值电压,分别称为正向阈值电压和负向阈值电压。在输入信号从低电平上升到高电平的过程中使电路状态发生变化的输入电压称为正向阈值电压,在输入信号从高电平下降到低电平的过程中使电路状态发生变化的输入电压称为负向阈值电压。正向阈值电压与负向阈值电压之差称为回差电压。

施密特触发器及其应用

一、实验目的 进一步掌握施密特触发器的原理和特点,熟悉和了解由施密特触发器构成的部分应用电路,学会正确使用TTL,CMOS集成的施密特触发器。 二、实验内容 1.具有施密特性的门电路特性测试 (1)74LS132芯片的特性测试 图 20.1所示为74LS132芯片的原理电路和逻辑符号图。 图20.1 用实验法测出芯片的电压传输特性曲线。并标出V T+,V T-,ΔV T等值。 参照给定的原理电路图,说明V T+,V T-,·ΔV T等值和理论分析值是否一致? 理论分析时,可假设肖特基三极管的V BES≈0.8V,V CES≈0.3V,肖特基二极管的正向导通压降V D≈0.4V。 (2)CMOS CD40106特性测试 图20.2所示为CD40106芯片的原理电路的逻辑符号图。 令V DD=+5V,测出CD40106的V T+,V T-·ΔV T值,画出相应的电压传输特性曲线。 改变V DD值,使之分别为+10V,-15V,重复上述内容。

图20.2 2.施密性触发器的应用。 (1)多谐振荡器 按图20.3所示电路接线,V DD=-5V。 (b) (a) 图20.3 用示波器观察图(a),图(b)电路输出端Vo的波形。 选择电容C,使图(a)中Vo的频率f=100KHZ~150KHZ。 选取图(b)电路中的电容C,令其分别为100PE和1μF,测出Vo端振荡波形的相应的频率。 (2)压控振荡器 按图20.4所示电路接线V DD=+5V 信号V1的变化范围为2.5~5.0V 图20.4 用示波器观察并记录Vo端的波形。 当V1取值分别为:2.5V、3V、3.5V、4.0V、4.5V、5V时测出Vo端波形相应的频率f。 观察电路中元件参数的大小(如电阻R、电容C)和f有何关系? 观察与非门的VT施密特触发器的V T+、V T-和f有何关系? 三、思考题 1.施密特触发器电路的特点是什么?(图20.1) 所示的原理电路是由哪几部分构成的?各部分的作用是什么? 2.CMOS施密特触发器的V DD值的大小和芯片的V T+、V T-、ΔV T参数有何关系? 3.改变图20.1图(b)电路的V DD值时,Vo端的振荡频率是否会跟着变化?怎样变化? 四、实验仪器及材料

应用555定时器组成施密特触发器

课程设计任务书 学生班级:学生姓名:学号 设计名称:应用555定时器组成施密特触发器 起止日期:指导教师:

摘要 施密特触发器是一种用途十分广泛的脉冲单元电路。利用它所具有的电位触发特性,可以进行脉冲整形,把边沿不够规则的脉冲整形为边沿陡峭的矩形脉冲(图4);通过它可以进行波形变换,把正弦波变换成矩形波;另一个重要用途就是进行信号幅度鉴别,只要信号幅度达到某一设定值,触发器就翻转,所以常称它为鉴幅器。用施密特触发器还能组成多谐振荡器和单稳态触发器。施密特触发器也有两个稳定状态,但与一般触发器不同的是,施密特触发器采用电位触发方式,其状态由输入信号电位维持;对于负向递减和正向递增两种不同变化方向的输入信号,施密特触发器有不同的阀值电压。为此,同学们通过书籍查阅了解到有多种方法可以组成施密特触发器,然后通过比较各种方案后,用555定时器组成施密特触发器,并通过去实验室实验和老师的指导了解到⑴施密特触发器有两个稳定状态,其维持和转换完全取决于输入电压的大小。⑵电压传输特性特殊,有两个不同的阈值电压(正向阈值电压和负向阈值电压。⑶状态翻转时有正反馈过程,从而输出边沿陡峭的矩形脉冲 关键词:施密特触发器,555定时器,阈值电压。

目录 一:绪论 (4) 二:555定时器组成施密特触发器 2.1设计任务、要求及目的 (5) 2.2 555定时器 (5) 2.3 设计施密特触发器的方案 (7) 2.4 主要参数 (8) 2.5 制作原理图 (8) 2.6制作PCB版 (9) 2.6.1 制作步骤 2.6.2 制作过程中遇到的问题、原因及解决办法 三:结论 (10) 四:参考文献 (11) 五:附录 (11)

施密特触发器详解

施密特触发器电路及工作原理详解 什么叫触发器 施密特触发电路(简称)是一种波形整形电路,当任何波形的信号进入电路时,输出在正、负饱和之间跳动,产生方波或脉波输出。不同于比较器,施密特触发电路有两个临界电压且形成一个滞后区,可以防止在滞后范围内之噪声干扰电路的正常工作。如遥控接收线路,传感器输入电路都会用到它整形。 施密特触发器 一般比较器只有一个作比较的临界电压,若输入端有噪声来回多次穿越临界电压时,输出端即受到干扰,其正负状态产生不正常转换,如图1所示。 图1 (a)反相比较器(b)输入输出波形

施密特触发器如图2 所示,其输出电压经由R1、R2分压后送回到运算放大器的非反相输入端形成正反馈。因为正反馈会产生滞后(Hysteresis)现象,所以只要噪声的大小在两个临界电压(上临界电压及下临界电压)形成的滞后电压范围内,即可避免噪声误触发电路,如表1 所示 图2 (a)反相斯密特触发器 (b)输入输出波形

表1施密特触发器的滞后特性 反相施密特触发器 电路如图2 所示,运算放大器的输出电压在正、负饱和之间转换: νO = ±Vsat 。输出电压经由R1 、R2 分压后反馈到非反相输入端:ν+= βνO , 其中反馈因数= 当νO 为正饱和状态(+Vsat )时,由正反馈得上临界电压 当νO 为负饱和状态(- Vsat )时,由正反馈得下临界电压 V TH 与V TL 之间的电压差为滞后电压: 2R1

图3 (a)输入、输出波形 (b)转换特性曲线 输入、输出波形及转换特性曲线如图3(b)所示。 当输入信号上升到大于上临界电压V TH时,输出信号由正状态转变为负状态即:νI >V TH→νo = - Vsat 当输入信号下降到小于下临界电压V TL时,输出信号由负状态转变为正状态即:νI <V TL→νo = + Vsat

施密特触发器电路原理

施密特触发器电路原理 什么叫触发器?施密特触发电路是一种波形整形电路,当任何波形的信号进入电路时,输出在正、负饱和之间跳动,产生方波或脉波输出。不同于比较器,施密特触发电路有两个临界电压且形成一个滞后区,可以防止在滞后范围内之噪声干扰电路的正常工作。如遥控接收线路,传感器输入电路都会用到它整形。 施密特触发器:一般比较器只有一个作比较的临界电压,若输入端有噪声来回多次穿越临界电压时,输出端即受到干扰,其正负状态产生不正常转换,如图1所示。新艺图库 图1 (a)反相比较器(b)输入输出波形 施密特触发器如图2 所示,其输出电压经由R1、R2分压后送回到运算放大器的非反相输入端形成正反馈。因为正反馈会产生滞后(Hysteresis)现象,所以只要噪声的大小在两个临界电压(上临界电压及下临界电压)形成的滞后电压范围内,即可避免噪声误触发电路,如表1 所示

图2 (a)反相斯密特触发器 (b)输入输出波形 表1施密特触发器的滞后特性 反相施密特触发器 电路如图2 所示,运算放大器的输出电压在正、负饱和之间转换: νO= ±Vsat。输出电压经由R1 、R2分压后反馈到非反相输入端:ν+= βνO,

其中反馈因数= 当νO为正饱和状态(+Vsat)时,由正反馈得上临界电压 (- Vsat)时,由正反馈得下临界电压当νO为负饱和状态

V TH与V TL之间的电压差为滞后电压:2R1 图3 (a)输入、输出波形 (b)转换特性曲线 输入、输出波形及转换特性曲线如图3(b)所示。 当输入信号上升到大于上临界电压V TH时,输出信号由正状态转变为负状态即: νI >V TH→νo = - Vsat 当输入信号下降到小于下临界电压V TL时,输出信号由负状态转变为正状态即: νI <V TL→νo = + Vsat 输出信号在正、负两状态之间转变,输出波形为方波。

施密特触发器

CMOS 施密特触发器的仿真 实验目的: ①掌握HSPICE 仿真软件的使用; ②掌握CMOS 施密特触发器的原理,绘制CMOS 施密特触发器的VTC ,并求V M+和V M-; 实验原理: https://www.doczj.com/doc/fe16082157.html,S 施密特触发器电路图: In V DD V DD Out M n1M n2M p2 M p1 M n3M p321 图1 COMS 施密特触发器电路原理图 https://www.doczj.com/doc/fe16082157.html,S 施密特触发器原理: 当输入处于低电平状态时,节点1和out 初始状态都为高电平,此时M N3,M P1,M P2管导通,节点2的电平为V DD -V THN ,M N1,M N2,M P3都截止;当输入处于高电平的状态时,节点2和out 初始状态都为低电平,此时M P3,M N1,M N2管导通,节点1的电平为V THP ,M P1,M P2,M N3都截止。当输入由低电平向高电平转换时,导通的M N3作为上拉网络的一部分,其作用可以等效于增大上拉网络晶体管的W ,所以具有更高的开关阈值;同理,当输入由高电平向低电平转换时,导通的M P3作为下拉网络的一部分,其作用可以等效于增大了下拉网络晶体管的W ,所以具有更低的开关阈值。 实验内容: 实验采用的软件为HSPICE C-2009.09,工艺库文件为MM180_LVT18_V113.LIB (0.18um )。选取的PMOS 管的尺寸为W=0.72um ,L=0.18um ;NMOS 管的尺寸为W=0.36um ,L=0.18um 。 1.理论推导V M+和V M-: 当输入由低电平向高电平转换时,最初只有MN3导通,当输入电压大于MN1的阈值电压以后,MN2导通,此后节点2的电压将随V IN 的升高而逐渐降低,当V IN -V 2大于MN2的阈值电压以后,输出被下拉至低电平,此时的V IN 可以认为是V M-,根据KCL 列出节点2的节点电流方程,我们可以解出V M-的表达式。我们假设MN1和MN3两个管子都工作在饱和区,并且忽 略背栅效应和沟道长度调制效应。

施密特触发器原理及应用

1.施密特触发器基本原理 施密特触发器又称施密特反相器,是脉冲波形变换中经常使用的一种电路。它在性能上有两个重要的特点: 第一,输入信号从低电平上升的过程中,电路状态转换时对应的输入电平,与输入信号从高电平下降过程中对应的输入转换电平不同。 第二,在电路状态转换时,通过电路内部的正反馈过程使输出电压波形的边沿变得很陡。 利用这两个特点不仅能将边沿变化缓慢的信号波形整形为边沿陡峭的矩形波,而且可以将叠加在矩形脉冲高、低电平上的噪声有效地清除。 施密特触发器可以由门电路构成,也可作成单片集成电路产品,且后者最为 图1 CMOS施密特触发器逻辑符号及施密特电路的电压传输特性曲线 常用。图1是CMOS集成施密特触发器CD40106逻辑符号与电压传输特性曲线。2.施密特触发器的应用 ⑴用于波形变换 利用施密特触发器状态转换过程中的正反馈作用,可以把边沿变化缓慢的周期性信号变换为边沿很陡的矩形脉冲信号。图2的例子中,输入信号是由直流分量和正弦分量叠加而成的,只要以信号的幅度大于V 即可在施密特触发器的输 T+ 出端得到同频率的矩形脉冲信号。

图2 用施密特触发器实现波形变换 ⑵ 用于脉冲的整形 在数字系统,常常需要将窄脉冲进行展宽,图3是用CD40106来展宽脉冲宽度的电路及输入、输出波形,它是利用R 、C 充电延时的作用来展宽输出脉冲的,改变R 、C 的大小,即可调节脉宽展宽的程度。 图 图 3 施密特触发器实现窄脉冲展宽电路及其波形 ⑶ 用于单稳态触发器 单稳态触发器的工作特性具有如下的显著特点: 第一,它有稳态和暂稳态两个不同的工作状态; 第二,在外界触发脉冲作用下,能从稳态翻转到暂稳态,在暂稳态维持一段时间以后,再自动返回稳态; V I V t (ms ) t (ms )

相关主题
文本预览
相关文档 最新文档