当前位置:文档之家› 电路与电子技术实验指导书汇总

电路与电子技术实验指导书汇总

电路与电子技术实验指导书汇总
电路与电子技术实验指导书汇总

电路与电子技术

实验指导书

主编:郭艳清

中原工学院

电子信息学院电工电子教研室

二零零九年九月

1

前言

为适应当前教学改革的需要,根据非电专业电子技术课程的教学基本要求,考虑所选设备的特点,我们重新组织编写了《电路与电子技术实验指导书》。

本书是电路与电子技术课程的配套实验指导书。主要内容包括:共九个实验,其中综合性实验一个。本书是在原电工电子教研室编写的电工技术实验指导书和电子技术实验指导书的基础上整合修改而成,并参考部分重点大学的实验指导。其内容的选择主要考虑本院学生的实际,既实现对学生基本技能的训练,又体现创新能力的培养,还符合教学的先进性、实用性。本书力求做到内容丰富、取材得当,先进实用。使用本书在满足教学基本要求的基础上,必将有利于提高非电专业学生综合应用电子技术的能力。

参编本书实验内容的教师有:郭艳清、李伟峰、马艳霞、李晓荃、王凤歌、王永林、王燕、王瑷珲和武超等,郭艳清和申旭老师对全书进行了审定,实验室的薛立、韩建勋和方向前老师对实验参数的确定等提出了许多改进意见。

由于编写时间仓促,疏漏与不妥之处在所难免,敬请读者批评指正。

编者

二零零九年九月

2

目录

前言 (2)

目录 (3)

第一章概述 (4)

第二章实验要求及注意事项 (5)

电工电子实验须知 (5)

基本实验技能和要求 (6)

第三章实验项目 (8)

实验一直流网络定理 (8)

实验二日光灯交流电路的研究 (13)

实验三低频电压放大器 (15)

实验四集成与非门与组合逻辑电路 (19)

实验五触发器、计数器及其应用 (23)

第四章参考文献 (29)

3

第一章概述

电工学课程是工科院校本科非电专业学生的一门技术基础课。电工学实验是电工学课程重要的实践性教学环节。电工学实验教学不仅能帮助学生巩固和加深理解所学的知识,更重要的是要训练学生的实验技能,提高学生分析问题、解决问题的能力,为学生进一步学习专业知识,拓宽专业领域,运用新的技术打下良好基础。

本实验指导书是我院电路与电子技术课程的配套教学用书。实验项目主要包含九个常规实验和一个综合设计性实验。

常规性实验的选取的主要依据是教学大纲。通过一些基础性的验证性的实验,使学生巩固所学基本知识,掌握基本仪器的使用,培养学生的搭接电路、调试电路、分析故障的基本能力。

综合设计性实验根据非电学生的实际,增加一些较深、较宽的学习内容,使学生了解和掌握课堂上没有讲解的知识,侧重培养学生对基础知识的综合应用能力和对实验的综合分析能力。

4

第二章实验要求及注意事项

电工电子实验须知

一、实验的目的和要求

实验是电工电子课程重要的实践性教学环节,实验的目的不仅要巩固和加深理所学的知识,更重要的是要训练实验技能,学会独立进行实验,树立工程实际观点和严谨的科学作风。

对学生实验技能训练的具体要求是:

1.能正确使用常用的电工仪表、电工设备及常用的电子仪器。

2.能按电路图正确接线和查线。

3.学习查阅手册,对常用的电子元器件具有使用的基本知识。

4.能准确读取实验数据,观察实验现象,测绘波形曲线。

5.能整理分析实验数据,独立写出内容完整的、条理清楚的、整洁的实验报告。

二、实验课前学生应作的准备工作

1.认真阅读实验指导书,明确实验目的,理解有关原理,熟悉实验电路、内容步骤及实验中的注意事项。

2.完成实验指导书中有关预习要求的内容。

3.做好数据记录表格等准备工作。

三、实验总结报告的要求

一律用学校规定的实验报告纸认真书写实验报告。实验报告的具体内容为:

1.实验目的

2.实验原理电路图及主要仪器设备的型号规格。

3.课前完成的预习内容:包括指导书所要求的理论计算、回答问题、设计记录表格等。

4.实验数据及处理:根据实验原始记录,整理实验数据,并按指导书要求加以必要处理。

5.实验总结:完成指导书要求的总结、问题讨论及心得体会,如有曲线应用坐标纸作出。

四、实验规则

1.严禁带电接线、拆线或改接线路。

2.接线完毕后,要认真复查,确信无误后,经教师同意,方可接通电源进行实验。

3.实验过程中如果发生事故,应立即关断电源,保持现场,报告指导教师。

4.实验完毕后,先由本人检查实验数据是否符合要求,然后再请教师检查,经教师认可后才可拆线,并将实验器材整理好。

5

5.室内仪器设备不准任意调换,非本次实验所用的仪器设备,未经教师允许不得动用。

没有弄懂仪表、仪器及设备的使用方法前,不得贸然使用。若损坏仪器设备,必须立即报告教师,作书面检查,责任事故要酌情赔偿。

6.实验要严肃认真,保持安静、整洁的学习环境。

基本实验技能和要求

我们要求通过本课程的实验,培养同学掌握实验的基本技能,希望同学在实验中注意培养和训练。

一、安全操作训练和科学作风:

1.接线:最后接电源部分(拆线时应先拆电源部分),接完线后仔细复查。严禁带电拆、接线。遇有事故应立即断开电源,并向教师报告情况,检查原因。勿乱拆线路。

2.接完电路后,开始实验前应作好准备工作,例如:

a.调压器或三端变阻器的可动端应放在无输出电压位置上或放在线路中电流为最小的位置上。

b.电压表、电流表或其他测量仪器(如万用表,数字繁用表)的量程应放在经过估算的一档或放在最大量程档上。

3.合电源闸前要得到教师和同组人的允许。每次开始操作前应与同组人打好招呼,互相密切配合,加负荷或变电路参数时应监视各仪表,若有异常现象,如冒烟、烤糊味,指针到极限位置,指针打弯等,应立即断电检查。

4.注意各种仪表仪器的保护措施。如电流表的短路开关(防止电动机起动电流冲击);有些仪器作保险丝作过载保护,不得随便更换;监视仪表过载指示灯,过载跳闸机构等等。

5.预操作习惯(在实验前先操作和观察一下),其目的在于:

a.看看电路运行及仪表指示是否正常。

b.看看所测电量数据变化趋势,以便确定实验曲线取点。

c.找出变化特殊点,作为取数据时的重点。

d.熟悉操作步骤。

二、实验技能训练:

1.接线能力:

a.合理安排仪表元件的位置,接线该长则长,该短则短,达到接线清楚,容易检查,操作方便的目的。

b.接线要牢固可靠。

c.先接电路的主回路,再接并联支路。有些电路(如电机控制),主回路电流大用粗导线,控制电流小用细导线。

2.合理读取数据点:应通过预操作,掌握被测曲线趋势和找出特殊点;凡变化急剧的

地方取点密,变化缓慢得取点疏。使取点尽量少而又能真实反映客观情况。

6

3.正确,准确地读取电表指示

a.合理选择量程,应力求使指针偏转大于2/3满量程时较为合适,同一量程中,指针偏转越大越准确。

b.在电表量程与表面分度一致,可以直读。不一致时可读分度数,即记下指针指示的格数,再进行换算,并注意读出足够的有效数字,不要少读或多读。

4.配合实验结果的有效数字,选择曲线坐标比例尺,避免夸大或淹没了实验结果的误差。

三、使用设备的一般方法:

1.了解设备的名称,用途,铭牌规格,额定值及面板旋钮情况。

2.着重搞清楚设备使极限值。

a.着重搞清楚设备:要注意其最大允许的输出值,如调压器、稳压电源有最大输出电流限制;电机有最大功率输出限制;信号有最大输出功率及最大信号电流限制。

b.量测仪表仪器,要注意最大允许的输入量。如电流表、电压表和功率表要注意最大的电流值或电压值。万用表、数字万用表、数字频率计、示波器等的输入端都规定有最大允许的输入值,不得超过,否则损坏设备。多量程仪表(如万用表)要正确使用量程,千万不可用欧姆表测电压,或用电流档测电压。

3.了解设备面板上各旋钮的作用。使用时应放在正确位置。禁止无意识乱拔动旋钮。

4.正式使用设备时设法判断是否正常。有自校的可通过自校信号对设备进行检查。如示波器有自校正弦波或方波;频率计有自校标准频率。

7

8

第三章 实验项目

实验一 直流网络定理

一、实验目的

1、加深对叠加原理的内容和适用范围的理解;

2、用实验方法验证戴维宁定理的正确性;

3、学习线性有源二端网络等效电路参数的测量方法。 二、实验属性:验证性实验。 三、实验仪器设备及器材

电工实验装置:DG012T 、DY031T 、DG051T 四、实验要求

实验前些预习报告,凭预习报告参加实验。预习叠加原理和戴维宁定理。实验中听从安排,正确使用仪表,记录测量数据,实验后根据要求认真书写实验报告。 五、实验原理

1、叠加原理

线性电路中,任一电压或电流都是电路中各个独立电源单独作用时,在该处产生的电压或电流的叠加。

2、戴维宁定理

一个含独立电源、线性电阻和受控源的二端网络,对外电路来说,可以用一个电压源和电阻的串联组合等效置换,如图1-1所示。此电压源的电压等于二端网络的开路电压U oc ,电阻等于二端网络的全部独立电源置零后的等效电阻R 0。

图1-1

对于已知的线性有源二端网络,其等效电阻R 0可以从原网络计算得出,也可以通过实验手段测出。下面介绍几种测量方法。

方法一:由戴维宁定理和诺顿定理可知: SC

oc

o I U R

因此,只要测出线性有源二端网络的开路电压U oc 和短路电流I SC ,R o 就可得出,这种方法最简单。但是,对于不允许将外部电路直接短路的网络,不能采用此法。

9

方法二:测出线性有源二端网络的开路电压U oc 以后,在端口处接一负载电阻R L ,然后在测出负载电阻的端电压U RL ,因为:

L L

o oc

RL R R R U U +=

则等效电阻为: L RL

oc

o R U U R )1(

-= 方法三:令线性有源二端网络中的所有独立电源置零,然后在断口处加一给定电压U ,测得流入端电流I (如图1-2a 所示),则:

U

图1-2a 图1-2b

也可以在端口处接入电流源I ‘,测得端口电压U ‘

(如图1-2b 所示),则:

''I

U R o =

+

_

U S1=10V

R R

图1-3

六、实验步骤

1、叠加原理

实验电路如图1-3。

(1)把K 2掷向短路线一边,K 1掷向电源一边,使U S1单独作用,测量各电流、电压,并记录在表1-1中;

(2)把K 1掷向短路线一边,K 2掷向电源一边,使U S2单独作用,测量各电流、电压,并

记录在表1-1中;

两电源共同作用时,测量各电流、电压,并记录在表1-1中。

2、戴维宁定理

(1)、线性有源二端网络的外部特性

按图1-4接线,改变电阻R L值,测量对应的电流和电压值,数据填在表1-2内。根据测量结果,求出对应于戴维宁等效参数U oc,I SC。

线性含源一端口网络负载

图1-4

表1-2

(2)求等效电阻R o

利用原理及说明介绍的3种方法求R0,并将结果填入表1-3中,方法(1)、方法(2)数据在表1-2中取,方法(3)实验线路如图1-5所示。

10

图1-5

(3)戴维宁等效电路

根据测量结果,利用图1-6构成戴维宁等效电路。测得其外特性U=f (I)。将数据填在表1-4中。

L

图1-6

11

1、用表1-1的数据验证叠加原理。

2、按图1-3给定参数,计算表1-1中所列各项并与实验结果进行比较。

3、完成实验内容2的要求,根据实验内容(1)和(3)的测量结果,在同一座标纸上作他们的外特性曲线,并作分析比较

12

13

实验二 日光灯交流电路的研究

一、实验目的

1、学习功率表的使用;

2、学习通过U 、I 、P 的测量计算交流电路的参数;

3、学会如何提高功率因数。 二、实验属性:验证性实验。 三、实验仪器设备及器材

电工实验装置:DG032T 、DY02T 、DG051T 四、实验要求

1、实验前要认真预习关于交流电路的连接方法;

2、实验前要熟悉实验仪器的工作原理;

3、测电压、电流时,一定要注意表的档位选择,测量类型、量程都要对应;

4、功率表电流线圈的电流、电压线圈的电压都不可超过所选的额定值;

5、自耦调压器输入输出端不可接反;

6、注意安全,线路接好后,须经指导老师检查无误后,再接通电源。

7、实验报告要对功率表的接线情况用图形说明,说明功率因数提高的原因和意义,说明电容是否能提高功率因数。 五、实验原理

日光灯结构图如图2-1所示,K 闭合时,日光灯管不导电,全部电压加在启辉器两触片之间,使启辉器中氖气击穿,产生气体放电,此放电产生的一定热量使双金属片受热膨胀与固定片接通,于是有电流通过日光灯管两端的灯丝和镇流器。短时间后双金属片冷却收缩与固定片断开,电路中电流突然减小;根据电磁感应定律,这时镇流器两端产生一定的感应电动势,使日光灯管两端电压产生400至500V 高压,灯管气体电离,产生放电,日光灯点燃发亮。日光灯点燃后,灯管两端电压降为100V 左右,这时由于镇流器的限流作用,灯管中的电流不会过大。同时并联在灯管两端的启辉器,也因电压降低而不能放电,其触片保持断开状态。

日光灯工作后,灯管相当于一电阻R ,镇流器可等效为电阻R L 和电感L 的串联,启辉器断开,所以整个电流等效为一个R 、L 串联电路,其电路模型如图2-2所示。

~灯管

.

图2-1 图2-2

六、实验步骤

1、测量交流参数

对照实验板如图2-3接线(不接电容C)。

火地

~启辉器

图2-3

调节自耦调压器输出,使U=220V,进行测试,填表2-1。

表2-1

2、提高功率因数

按照表2-2的电容值并联电容C,令U=220V不变,将测试结果填入表2-2中。

七、报告要求

1、若直接测量镇流器功率,功率表如何接线,作图说明。

2、说明功率因数提高的原因和意义。

3、串联电容能否提高功率因数。

14

15

实验三 低频电压放大器

一、实验目的

1、 掌握静态工作点的测试及调整方法。

2、 观察负载对电压放大倍数的影响。

3、学习输入电阻、输出电阻的测量方法。

4、观察静态工作点的改变对非线性失真的影响。

5、进一步熟悉毫伏表、示波器及信号发生器的使用方法。 二、实验属性:验证性实验 三、实验仪器设备及器材

1、实验箱(台)

2、示波器

3、毫伏表

4、数字万用表 三、预习要求

1. 复习放大器的工作原理,估算放大电路的静态工作点,根据微变等效电路计算放大电路的输入电阻、输出电阻,空载和有负载时的电压放大倍数。

2. 预习放大电路的动态和静态测试方法,r i 和r o 的测量方法和原理。 3.了解饱和失真和截止失真的形成原因及改变方法。 4.预习信号发生器及示波器的使用。 五、实验内容及步骤

实验前校准示波器,检查信号源。

1、测量并计算静态工作点 ● 按图5-1接线。

Vc=Ec/2,测静态

V 0

+12V

V 0

+12V

16 ● 按下式计算I B 、Ic ,并记入表5-1中。

K

20V 100K V V Rb1b

b B I -

-= Rc Vc -Ec =C I

2 在实验步骤1的基础上,把输入与地断开,接入f =1KH Z 、V 1=5mV 的正弦信号,负载电阻分别为R L =2K Ω和R L =∞,用毫伏表测量输出电压的值,用示波器观察输入电压和输出电压波形,并比较输入电压和输出电压的地位,画于表5-2中,在不失真的情况下计算电压放大倍数:A V =V O /V 1,把数据填入表5-3中:

表5-2

3

在实验步骤2基础上,把负载电阻2K 换成5.1K ,重新测定放大倍数,将数据填入表5-4中。

4. 按图5—2接线。 (1)、测量电压参数,计算输入电阻和输出电阻。 ● 输入端接入f = 1KHz 、V i =5mV 的正弦信号。

● 分别测出电阻R 1两端对地信号电压V i 及V i ’,按下式计算出输入电阻r i :

17

1i

i i

i R V V V r '-'=

Vi

R L 5.1K )

+12V

图5-2

测出负载电阻R L 开路时的输出电压V ∞,和接入R L (2K)时的输出电压V 0,然后按下式计算出输出电阻r 0:

L

00V R )V V (r ?-=

将测量数据及实验结果填入表5—5中。

(2)、观察静态工作点对放大器输出波形的影响,将观察结果分别填入表5-6中。

● 输入信号不变,用示波器观察正常工作时输出电压V 0的波形井描画下来。

逐渐减小R b2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失真的波形描画下来,并说明是哪种失真。如果R b2=0Ω后,仍不出现失真,可以加大输入信号V i ,或将R bl 由100K Ω改为I0K Ω,直到出现明显失真波形。

逐渐增大R b2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失真波形描画下来,并说明是哪种失真。如果R b2=1M 后,仍不出现失真,可以加大输入信号V i ,直到出现明显失真波形。

六、实验报告

1、整理实验数据,填入表中,并按要求进行计算。

2、总结电路参数变化对静态工作点和电压放大倍数的影响。

3、讨论静态工作点变化对放大器输出波形的影响。

18

19

实验四 集成与非门与组合逻辑电路

一. 实验目的

1.了解与非门引脚排列。

2.学习与非门逻辑功能测试。

3.掌握用与非门设计组合逻辑电路的方法与测试方法。 二. 实验属性:验证性实验 三. 实验仪器设备及器材

1. 数字实验箱

+5V 电源,单脉冲源,连续脉冲源,逻辑电平开关,LED 显示; 2. 集成电路器件

集成2输入与非门74LS00(CC4011);

集成4输入与非门74LS20(CC4012,T063) 集成非门74LS04(4069);

图8-1 与非门引脚图

7400: B 1A 1Y 1?= B 2A 2Y 2?= B 3A 3Y 3?= B 4A 4Y 4?= 7420: D 1C 1B 1A 1Y 1???=

D 2C 2B 2A 2Y 2???=

7404 A 1Y 1= A 2Y 2= A 3Y 3= A 4Y 4= A 5Y 5= A 6Y 6= 四. 实验要求 1. 实验预习

1) 熟悉基本逻辑关系,了解与非门输入端空脚的处理方法。 2) 预习组合逻辑电路的分析与设计步骤。 3) 填写原始记录表中的预测值。

2. 实验要求

1)了解试验台数字电路部分结构。

2)实验前完成预习及预习报告,

3)按实验步骤完成实验内容,记录实验数据并填表,对实验结果进行分析。

4)实验中要注意,集成电路工作时,将+5V电源及地线引入相应管脚。

五.实验原理

1.组合逻辑电路的分析过程,一般分为如下三步进行:

1)由逻辑图写出输出端的逻辑表达式;

2)列出真值表;

3)根据对真值表进行分析,确定电路功能。

2.组合逻辑电路的设计过程,一般分为如下四步进行:

图8-2 组合逻辑电路设计方框图

设计过程中,"最简"是指电路所用器件最少,器件

的种类最少,而且器件之间的连线也最少。若用与非

门设计电路图,将最简的与或表达式两次求反即可。

下例逻辑图为8-3。

例如:F=ABC+CD=CD

ABC

CD

ABC?

=

+

六.实验步骤

一)、非门逻辑功能的测试

1、基本逻辑功能测试

利用数字电路实验箱上的电源、逻辑开关、电平指示灯对74LS00芯片的与非门进行检测。首先将实验箱上的+5V电源及地线(GND)用插接线正确接入74LS00芯片的相应脚,再任选74LS00芯片中的一个与非门,按图8-4接线,即A、B输入端各接到一个逻辑开关的插孔实现高、低电平的输入,Y输出端接到电平指示灯插孔显示输出端是高电平还是低

电平,按与非门真值表检测功能。完成表8-1,若符合

逻辑,则证明该门逻辑功

图8-3

20

电子技术基础实验指导书

《电子技术基础》实验指导书 电子技术课组编 信息与通信工程学院

实验一常用电子仪器的使用 一、实验类型-操作型 二、实验目的 1、学习电子电路实验中常用的电子仪器——示波器、函数信号发生器、直流稳压电源、交流毫伏表、频率计等的主要技术指标、性能及正确使用方法。 2、初步掌握用双踪示波器观察正弦信号波形和读取波形参数的方法。 三、实验原理 在模拟电子电路实验中,经常使用的电子仪器有示波器、函数信号发生器、直流稳压电源、交流毫伏表及频率计等。它们和万用电表一起,可以完成对模拟电子电路的静态和动态工作情况的测试。 实验中要对各种电子仪器进行综合使用,可按照信号流向,以连线简捷,调节顺手,观察与读数方便等原则进行合理布局,各仪器与被测实验装置之间的布局与连接如图1-1所示。接线时应注意,为防止外界干扰,各仪器的共公接地端应连接在一起,称共地。信号源和交流毫伏表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。

图1-1 模拟电子电路中常用电子仪器布局图 1、示波器 示波器是一种用途很广的电子测量仪器,它既能直接显示电信号的波形,又能对电信号进行各种参数的测量。现着重指出下列几点: 1)、寻找扫描光迹 将示波器Y轴显示方式置“Y1”或“Y2”,输入耦合方式置“GND”,开机预热后,若在显示屏上不出现光点和扫描基线,可按下列操作去找到扫描线:①适当调节亮度旋钮。②触发方式开关置“自动”。③适当调节垂直()、水平()“位移”旋钮,使扫描光迹位于屏幕中央。(若示波器设有“寻迹”按键,可按下“寻迹”按键,判断光迹偏移基线的方向。) 2)、双踪示波器一般有五种显示方式,即“Y1”、“Y2”、“Y1+Y2”三种单踪显示方式和“交替”“断续”二种双踪显示方式。“交替”显示一般适宜于输入信号频率较高时使用。“断续”显示一般适宜于输入信号频率较低时使用。 3)、为了显示稳定的被测信号波形,“触发源选择”开关一般选为“内”触发,使扫描触发信号取自示波器内部的Y通道。 4)、触发方式开关通常先置于“自动”调出波形后,若被显示的波形不稳定,可置触发方式开关于“常态”,通过调节“触发电平”旋钮找到合适的触发电压,使被测试的波形稳定地显示在示波器屏幕上。 有时,由于选择了较慢的扫描速率,显示屏上将会出现闪烁的光迹,但被

电路实验指导书

实验一万用表原理及应用 实验二电路中电位的研究 实验三戴维南定理 实验四典型信号的观察与测量 实验五变压器的原副边识别与同名端测试

实验一万用表原理及使用 一、实验目的 1、熟悉万用表的面板结构以及各旋钮各档位的作用。 2、掌握万用表测电阻、电压、电流等电路常用量大小的方法。 二、实验原理 1、万用表基本结构及工作原理 万用表分为指针式万用表、数字式万用表。从外观上万用表由万用表表笔及表体组成。从结构上是由转换开关、测量电路、模/数转换电路、显示部分组成。指针万用表外观图见后附。其基本原理是利用一只灵敏的磁电式直流电流表做表头,当微小电流通过表头,就会有电流指示。但表头不能通过大电流,因此通过在表头上并联串联一些电阻进行分流或降压,从而测出电路中的电流、电压、电阻等。万用表是比较精密的仪器,如若使用不当,不仅会造成测量不准确且极易损坏。 1)直流电流表:并联一个小电阻 2)直流电压表:串联一个大电阻 3)交流电压表:在直流电压表基础上加入二极管 4)欧姆表

2、万用表的使用 (1)熟悉表盘上的各个符号的意义及各个旋钮和选择开关的主要作用。 (2)使用万用表之前,应先进行“机械调零”,即在没有被测电量时,使万用表指针指在零电压或零电流的位置上。 (3)选择表笔插孔的位置。 (4)根据被测量的种类和大小,选择转换开关的档位和量程,找出对应的刻度线。 (5)测量直流电压 a.测量电压时要选择好量程,量程的选择应尽量使指针偏转到满刻度的2/3左右。如果事先不清楚被测电压的大小时,应先选择最高量程。然后逐步减小到合适的量程。 b.将转换开关调至直流电压档合适的量程档位,万用表的两表笔和被测电路与负载并联即可。 c.读数:实际值=指示值*(量程/满偏)。 (6)测直流电流 a.将万用表转换开关置于直流电流档合适的量程档位,量程的选择方法与电压测量一样。 b.测量时先要断开电路,然后按照电流从“+”到“-”的方向,将万用表串联到被测电路中,即电流从红表笔流入,从黑表笔流出。如果将万用表与负载并联,则因表头的内阻很小,会造成短路烧坏仪表。 c.读数:实际值=指示值*(量程/满偏)。 (7)测电阻 a.选择合适的倍率档。万用表欧姆档的刻度线是不均匀的,所以倍率挡的选择应使指针停留在刻度较稀的部分为宜,且指针接近刻度尺的中间,读数越准确。一般情况下,应使指针指在刻度尺的1/3~2/3之间。

电力电子技术实验

《电力电子技术》实验指导书 指导教师:王跃鹏李向丽 燕山大学电气工程学院 应用电子实验室 二零零四年七月

实验一 锯齿波同步移相触发电路实验 一、实验目的 1、加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 2、掌握锯齿波同步触发电路的调试方法。 二、实验内容 1、锯齿波同步触发电路的调试。 2、锯齿波同步触发电路各点波形观察、分析。 三、实验线路及原理 锯齿波同步移相触发电路主要由脉冲形成和放大、锯齿波形成、同步移相等环节组成。 四、实验设备及仪器 1、MCL-Ⅲ型交流调速系统实验台 2、MCL-32组件 3、MCL-31组件 4、MCL-05组件 5、双踪示波器 五、实验方法 1、将MCL-05面板上左上角的同步电压接入MCL-32的U 、V 端,并将MCL-31的“g U ”和“地”端分别接入MCL-05的“ct U ”和“7”端,“触发电路选择”拨向“锯齿波”。 2、合上主电路电源开关,并打开MCL-05面板右下角的电源开关,用示波器观察各观测孔的电压波形,示波器的地线接于“7”端。 同时观测“1”、“2”孔的波形,了解锯齿波宽度和“1”点波形的关系。 观察“3”~“5”孔波形,调节RP1,使3”的锯齿波刚出现平顶,记下各波形的幅值与宽度。 六、实验报告 整理,描绘实验中记录的各点波形。

实验二 单相桥式全控整流电路实验 一、实验目的 1、了解单相桥式全控整流电路的工作原理。 2、研究单相桥式全控整流电路在电阻负载、阻感负载时的工作特点。 二、实验内容 1、单相桥式全控整流电路供给电阻负载。 2、单相桥式全控整流电路供给阻感负载。 三、实验线路及原理 单相桥式全控整流电路的实验线路如图2-1所示,其工作原理可参见“《电力电子技术》(第四版,王兆安、黄俊编)”教材。 四、实验设备及仪器 1、MCL-Ⅲ型交流调速系统实验台 2、MCL-32组件 3、MCL-31组件 4、MCL-05组件 5、双踪示波器 五、实验方法 1、单相桥式全控整流电路供给电阻负载。 按照图2-1接线,接上电阻负载(采用MEL-03上的两只900Ω的电阻并联),并将负载电阻调至最大,短接平波电抗器。合上主电路电源,调节给定电压g u 的大小,观察不同α角时的整流电路的输出电压波形)(t f u d =,以及晶闸管的端电压波形)(t f u T =。 2、单相桥式全控整流电路供给阻感负载。 按照图2-1接线,接上阻感负载(电感选择700mH ,电阻采用MEL-03上的两只900Ω的电阻并联),并将负载电阻调至最大。合上主电路电源,调节给定电压g u 的大小,观察不同α角时的整流电路的输出电压波形)(t f u d =,以及晶闸管的端电压波形 )(t f u T =。 六、实验报告

电子技术实验指导书

实验一常用电子仪器的使用方法 一、实验目的 了解示波器、音频信号发生器、交流数字毫伏表、直流稳压电源、数字万用电表的使用方法。二实验学时 2 学时 三、实验仪器及实验设备 1、GOS-620 系列示波器 2、YDS996A函数信号发生器 3、数字交流毫伏表 4、直流稳压电源 5、数字万用电表 四、实验仪器简介 1、示波器 阴极射线示波器(简称示波器)是利用阴极射线示波管将电信号转换成肉眼能直接观察的随时间变化的图像的电子仪器。示波器通常由垂直系统、水平系统和示波管电路等部分组成。垂直系统将被测信号放大后送到示波管的垂直偏转板,使光点在垂直方向上随被测信号的幅度变化而移动;水平系统用作产生时基信号的锯齿波,经水平放大器放大后送至示波管水平偏转板,使光点沿水平方向匀速移动。这样就能在示波管上显示被测信号的波形。 2、YDS996A函数信号发生器通常也叫信号发生器。它通常是指频率从0.6Hz至1MHz的正弦波、方波、三角波、脉冲波、锯齿波,具有直流电平调节、占空比调节,其频率可以数字直接显示。适用于音频、机械、化工、电工、电子、医学、土木建筑等各个领域的科研单位、工厂、学校、实验室等。 3、交流数字毫伏表 该表适用于测量正弦波电压的有效值。它的电路结构一般包括放大器、衰减器(分压器)、检波器、指示器(表头)及电源等几个部分。该表的优点是输入阻抗高、量程广、频率范围宽、过载能力强等。该表可用来对无线电接收机、放大器和其它电子设备的电路进行测量。 4、直流稳压电源: 它是一种通用电源设备。它为各种电子设备提供所需要的稳定的直流电压或电流当电网电压、负载、环境等在一定范围内变化时,稳压电源输出的电压或电流维持相对稳定。这样可以使电子设备或电路的性能稳定不变。直流电源通常由变压、整流、滤波、调整控制四部分组成。有些电源还具有过压、过流等保护电路,以防止工作失常时损坏器件。 6、计频器 GFC-8010H是一台高输入灵敏度20mVrms,测量范围0.1Hz至120MHz的综合计频器,具备简洁、高性能、高分辨率和高稳定性的特点。 5、仪器与实验电路的相互关系及主要用途:

电工和电子技术(A)1实验报告解读

实验一 电位、电压的测定及基尔霍夫定律 1.1电位、电压的测定及电路电位图的绘制 一、实验目的 1.验证电路中电位的相对性、电压的绝对性 2. 掌握电路电位图的绘制方法 三、实验内容 利用DVCC-03实验挂箱上的“基尔霍夫定律/叠加原理”实验电路板,按图1-1接线。 1. 分别将两路直流稳压电源接入电路,令 U 1=6V ,U 2=12V 。(先调准输出电压值,再接入实验线路中。) 2. 以图1-1中的A 点作为电位的参考点,分别测量B 、C 、D 、E 、F 各点的电位值φ及相邻两点之间的电压值U AB 、U BC 、U CD 、U DE 、U EF 及U FA ,数据列于表中。 3. 以D 点作为参考点,重复实验内容2的测量,测得数据列于表中。 图 1-1

四、思考题 若以F点为参考电位点,实验测得各点的电位值;现令E点作为参考电位点,试问此时各点的电位值应有何变化? 答: 五、实验报告 1.根据实验数据,绘制两个电位图形,并对照观察各对应两点间的电压情况。两个电位图的参考点不同,但各点的相对顺序应一致,以便对照。 答: 2. 完成数据表格中的计算,对误差作必要的分析。 答: 3. 总结电位相对性和电压绝对性的结论。 答:

1.2基尔霍夫定律的验证 一、实验目的 1. 验证基尔霍夫定律的正确性,加深对基尔霍夫定律的理解。 2. 学会用电流插头、插座测量各支路电流。 二、实验内容 实验线路与图1-1相同,用DVCC-03挂箱的“基尔霍夫定律/叠加原理”电路板。 1. 实验前先任意设定三条支路电流正方向。如图1-1中的I1、I2、I3的方向已设定。闭合回路的正方向可任意设定。 2. 分别将两路直流稳压源接入电路,令U1=6V,U2=12V。 3. 熟悉电流插头的结构,将电流插头的两端接至数字电流表的“+、-”两端。 4. 将电流插头分别插入三条支路的三个电流插座中,读出并记录电流值。 5. 用直流数字电压表分别测量两路电源及电阻元件上的电压值,记录之。 三、预习思考题 1. 根据图1-1的电路参数,计算出待测的电流I1、I2、I3和各电阻上的电压值,记入表中,以便实验测量时,可正确地选定电流表和电压表的量程。 答: 2. 实验中,若用指针式万用表直流毫安档测各支路电流,在什么情况下可能出现指针反偏,应如何处理?在记录数据时应注意什么?若用直流数字电流表进行测量时,则会有什么显示呢? 答:

电力电子技术实验-打印的

电力电子技术实验-打印的-标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

实验一单结晶体管触发电路实验 一、实验目的 (1) 熟悉单结晶体管触发电路的工作原理及各元件的作用。 (2) 掌握单结晶体管触发电路的调试步骤和方法。 序号型号备注 1 DJK01 电源控制屏该控制屏包含“三相电源输出” 等几个模块。 2 DJK0 3 晶闸管触发电路该挂件包含“单结晶体管触发电 路”等模块。 3 双踪示波器自备 图1-8 单结晶体管触发电路原理图 由同步变压器副边输出60V的交流同步电压,经VD1半波整流,再经稳压管V1、V2进行削波,从而得到梯形波电压,其过零点与电源电压的过零点同步,梯形波通过R7及等效可变电阻V5向电容C1充电,当充电电压达到单结晶体管的峰值电压Up时,单结晶体管V6导通,电容通过脉冲变压器原边放电,脉冲变压器副边输出脉冲。同时由于放电时间常数很小,C1两端的电压很快下降到单节晶体管的谷点电压Uv使V6关断,C1再次充电,周而复始,在电容c1两端呈现锯齿波形,在脉冲变压器副边输出尖脉冲。在一个梯形波周期内,V6可能导通、关断多次,但对晶闸管的触发只有第一个输出脉冲起作用。电容C1的充电时间常数由等效电阻等决定,调节RP1改变C1的充电时间,控制第一个尖脉冲的出现时刻,实现脉冲的移相控制。单结晶体管触发电路的个点波形略。 四、实验内容 (1) 单结晶体管触发电路的调试。

(2) 单结晶体管触发电路各点电压波形的观察。 五、思考题 (1) 单结晶体管触发电路的振荡频率与电路中 C1 的数值有什么关系 答:在一个梯形波周期内,V6可能导通、关断多次,但对晶闸管的触发只有 第一个输出脉冲起作用。电容C1的充电时间常数由等效电阻等决定,调节RP1 改变C1的充电时间,控制第一个尖脉冲的出现时刻,实现脉冲的移相控制。(2) 单结晶体管触发电路的移相范围能否达到180° 答:能 六、实验方法 (1) 单结晶体管触发电路的观测 将 DJK01 电源控制屏的电源选择开关打到“直流调速”侧 , 使输出线 电压为 200V (不能打到“交流调速”侧工作,因为 DJK03 的正常工作电源电压为220V ± 10% ,而“交流调速”侧输出的线电压为 240V 。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“ DZSZ-1 型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到 220V 左右,然后才能将电源接入挂件),用两根导线将 200V 交流电压接到 DJK03 的“外接220V ”端,按下“启动”按钮,打开 DJK03 电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察单结晶体管触发电路,经半波整流后“ 1 ”点的波形,经稳压管削波得到“ 2 ”点的波形,调节移相电位器 RP1 ,观察“ 4 ”点锯齿波的周期变化及“ 5 ”点的触发脉冲波形;最后观测输出的“ G 、K ”触发电压波形,其能否在30° ~ 170° 范围内移相 (2) 单结晶体管触发电路各点波形的记录

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

电子技术基础实验报告要点

电子技术实验报告 学号: 222014321092015 姓名:刘娟 专业:教育技术学

实验三单级交流放大器(二) 一、实验目的 1. 深入理解放大器的工作原理。 2. 学习测量输入电阻、输出电阻及最大不失真输出电压幅值的方法。 3. 观察电路参数对失真的影响. 4. 学习毫伏表、示波器及信号发生器的使用方法。 二. 实验设备: 1、实验台 2、示波器 3、数字万用表 三、预习要求 1、熟悉单管放大电路。 2、了解饱和失真、截止失真和固有失真的形成及波形。 3、掌握消除失真方法。 四、实验内容及步骤 ●实验前校准示波器,检查信号源。 ●按图3-1接线。 图3-1 1、测量电压参数,计算输入电阻和输出电阻。 ●调整RP2,使V C=Ec/2(取6~7伏),测试V B、V E、V b1的值,填入表3-1中。 表3-1 Array ●输入端接入f=1KHz、V i=20mV的正弦信号。 ●分别测出电阻R1两端对地信号电压V i及V i′按下式计算出输入电阻R i : ●测出负载电阻R L开路时的输出电压V∞,和接入R L(2K)时的输出电压V0 , 然后按下式计算出输 出电阻R0;

将测量数据及实验结果填入表3-2中。 2、观察静态工作点对放大器输出波形的影响,将观察结果分别填入表3-3,3-4中。 ●输入信号不变,用示波器观察正常工作时输出电压V o的波形并描画下来。 ●逐渐减小R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失真的波形描 画下来,并说明是哪种失真。( 如果R P2=0Ω后,仍不出现失真,可以加大输入信号V i,或将R b1由100KΩ改为10KΩ,直到出现明显失真波形。) ●逐渐增大R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失真波形描画 下来,并说明是哪种失真。如果R P2=1M后,仍不出现失真,可以加大输入信号V i,直到出现明显失真波形。 表 3-3 ●调节R P2使输出电压波形不失真且幅值为最大(这时的电压放大倍数最大),测量此时的静态工 作点V c、V B、V b1和V O 。 表 3-4 五、实验报告 1、分析输入电阻和输出电阻的测试方法。 按照电路图连接好电路后,调节RP2,使Vc的值在6-7V之间,此时使用万用表。接入输入信号1khz 20mv后,用示波器测试Vi与Vi’,记录数据。用公式计算出输入电阻的值。在接入负载RL和不接入负载时分别用示波器测试Vo的值,记录数据,用公式计算出输出电阻的值。 2、讨论静态工作点对放大器输出波形的影响。 静态工作点过低,波形会出现截止失真,即负半轴出现失真;静态工

电路实验指导书-

电路分析 实 验 指 导 书 安徽科技学院 数理与信息工程学院

实 验 内 容 实验一 电阻元件伏安特性的测量 一、实验目的 (1)学习线性电阻元件和非线性电阻元件伏安特性的测试方法。 (2)学习直流稳压电源、万用表、直流电流表、电压表的使用方法。 二、实验原理及说明 (1)元件的伏安特性。如果把电阻元件的电压取为横坐标(纵坐标),电流取为纵坐标(横坐标),画出电压和电流的关系曲线,这条曲线称为该元件的伏安特性。 (2)线性电阻元件的伏安特性在μ-i(或i-μ)平面上是通过坐标原点的直线,与元件电压或电流的方向无关,是双向性的元件,如图2.1-1,元件上的电压和元件电流之间的关系服从欧姆定律。元件的电阻值可由下式确定:α=μ= tg m m i R i u ,其中m u 、m i 分别为电压和电流在μ-i平面坐标上的比例尺,α是伏安特性直线与电流轴之间的夹角。我们经常使用的电阻器,如金属膜电阻、绕线电阻等的伏安特性近似为直线,而电灯、电炉等器件的伏安特性曲线或多或少都是非线性的。 (3)非线性电阻元件的伏安特性不是一条通过原点的直线,所以元件上电压和元件电流之间不服从欧姆定律,而元件电阻将随电压或电流的改变而改变。有些非线性电阻元件的伏安特性还与电压或电流的方向有关,也就是说,当元件两端施加的电压方向不同时,流过它的电流完全不同,如晶体二极管、发光管等,就是单向元件,见图2.1-2。 根据常见非线性电阻元件的伏安特性,一般可分为下述三种类型: 1)电流控制型电阻元件。如果元件的端电压是流过该元件电流的单值函数,则称为电流控制型电阻元件,如图2.1-3(a )所示。 2)电压控制型电阻元件。如果通过元件的电流是该元件端电压的单值函数,则称为电压控制型电阻元件,如图2.1-3(b)所示。 3)如果元件的伏安特性曲线是单调增加或减小的。则该元件既是电流控制型又是电压控制型的电阻元件,如图2.1-3(c )所示。 (4)元件的伏安特性,可以通过实验方法测定。用电流表、电压表测定伏安特性的方法,叫伏安法。测试线性电阻元件的伏安特性,可采用改变元件两端电压测电流的方法得到,或采取改变通过元件的电流而测电压的方法得到。

电力电子技术实验(课程教案)

课程教案 课程名称:电力电子技术实验 任课教师:张振飞 所属院部:电气与信息工程学院 教学班级:电气1501-1504班、自动化1501-1504自动化卓越1501 教学时间:2017-2018学年第一学期 湖南工学院

课程基本信息

1 P 实验一、SCR、GTO、MOSFET、GTR、IGBT特性实验 一、本次课主要内容 1、晶闸管(SCR)特性实验。 2、可关断晶闸管(GTO)特性实验(选做)。 3、功率场效应管(MOSFET)特性实验。 4、大功率晶体管(GTR)特性实验(选做)。 5、绝缘双极性晶体管(IGBT)特性实验。 二、教学目的与要求 1、掌握各种电力电子器件的工作特性测试方法。 2、掌握各器件对触发信号的要求。 三、教学重点难点 1、重点是掌握各种电力电子器件的工作特性测试方法。 2、难点是各器件对触发信号的要求。 四、教学方法和手段 课堂讲授、提问、讨论、演示、实际操作等。 五、作业与习题布置 撰写实验报告

2 P 一、实验目的 1、掌握各种电力电子器件的工作特性。 2、掌握各器件对触发信号的要求。 二、实验所需挂件及附件 三、实验线路及原理 将电力电子器件(包括SCR、GTO、MOSFET、GTR、IGBT五种)和负载 电阻R串联后接至直流电源的两端,由DJK06上的给定为新器件提供触 发电压信号,给定电压从零开始调节,直至器件触发导通,从而可测得 在上述过程中器件的V/A特性;图中的电阻R用DJK09 上的可调电阻负 载,将两个90Ω的电阻接成串联形式,最大可通过电流为1.3A;直流电 压和电流表可从DJK01电源控制屏上获得,五种电力电子器件均在DJK07 挂箱上;直流电源从电源控制屏的输出接DJK09上的单相调压器,然后 调压器输出接DJK09上整流及滤波电路,从而得到一个输出可以由调压 器调节的直流电压源。 实验线路的具体接线如下图所示:

电子技术实验报告—实验4单级放大电路

电子技术实验报告 实验名称:单级放大电路 系别: 班号: 实验者姓名: 学号: 实验日期: 实验报告完成日期: ?

目录 一、实验目的 (3) 二、实验仪器 (3) 三、实验原理 (3) (一)单级低频放大器的模型和性能 (3) (二)放大器参数及其测量方法 (5) 四、实验内容 (7) 1、搭接实验电路 (7) 2、静态工作点的测量和调试 (8) 3、基本放大器的电压放大倍数、输入电阻、输出电阻的测量 (9) 4、放大器上限、下限频率的测量 (10) 5、电流串联负反馈放大器参数测量 (11) 五、思考题 (11) 六、实验总结 (11)

一、实验目的 1.学会在面包板上搭接电路的方法; 2.学习放大电路的调试方法; 3.掌握放大电路的静态工作点、电压放大倍数、输出电阻和通频带测量方法; 4.研究负反馈对放大器性能的影响;了解射级输出器的基本性能; 5.了解静态工作点对输出波形的影响和负载对放大电路倍数的影响。 二、实验仪器 1.示波器1台 2.函数信号发生器1台 3. 直流稳压电源1台 4.数字万用表1台 5.多功能电路实验箱1台 6.交流毫伏表1台 三、实验原理 (一) 单级低频放大器的模型和性能 1. 单级低频放大器的模型 单级低频放大器能将频率从几十Hz~几百kHz的低频信号进行不失真地放大,是放大器中最基本的放大器,单级低频放大器根据性能不同科分为基本放

大器和负反馈放大器。 从放大器的输出端取出信号电压(或电流)经过反馈网络得到反馈信号电压(或电流)送回放大器的输入端称为反馈。若反馈信号的极性与原输入信号的极性相反,则为负反馈。 根据输出端的取样信号(电压或电流)与送回输入端的连接方式(串联或并联)的不同,一般可分为四种反馈类型——电压串联反馈、电流串联反馈、电压并联反馈和电流并联反馈。负反馈是改变房卡器及其他电子系统特性的一种重要手段。负反馈使放大器的净输入信号减小,因此放大器的增益下降;同时改善了放大器的其他性能:提高了增益稳定性,展宽了通频带,减小了非线性失真,以及改变了放大器的输入阻抗和输出阻抗。负反馈对输入阻抗和输出阻抗的影响跟反馈类型有关。由于串联负反馈实在基本放大器的输入回路中串接了一个反馈电压,因而提高了输入阻抗,而并联负反馈是在输入回路上并联了一个反馈电流,从而降低了输入阻抗。凡是电压负反馈都有保持输出电压稳定的趋势,与此恒压相关的是输出阻抗减小;凡是电流负反馈都有保持输出电流稳定的趋势,与此恒流相关的是输出阻抗增大。 2.单级电流串联负反馈放大器与基本放大器的性能比较 电路图2是分压式偏置的共射级基本放大电路,它未引入交流负反馈。 电路图3是在图2的基础上,去掉射极旁路电容C e,这样就引入了电流串联负反馈。

电路实验指导书

实验一元件伏安特性的测试 一、实验目的 1.掌握线性电阻元件,非线性电阻元件及电源元件伏安特性的测量方法。 2.学习直读式仪表和直流稳压电源等设备的使用方法。 二、实验说明 电阻性元件的特性可用其端电压U与通过它的电源I之间的函数关系来表示,这种U与I的关系称为电阻的伏安关系。如果将这种关系表示在U~I平面上,则称为伏安特性曲线。 1.线性电阻元件的伏安特性曲线是一条通过坐标原点的直线,该直线斜率的倒数就是电阻元件的电阻值。如图1-1所示。由图可知线性电阻的伏安特性对称于坐标原点,这种性质称为双向性,所有线性电阻元件都具有 这种特性。 -1 图 半导体二极管是一种非线性电阻元件,它的阻值随电流的变化而变化,电压、电流不服从欧姆定律。半导体二极管的电路符号用 表示,其伏安特性如图1-2所示。由图可见,半导体二极管的电阻值随着端电压的大小和极性的不同而不同,当直流电源的正极加于二极管的阳极而负极与阴极联接时, 二极管的电阻值很小,反之二极管的电阻值很大。 2.电压源 能保持其端电压为恒定值且内部没有能量损失的电压源称为理想电压源。理想电压源的符号和伏安特性曲线如图1-3(a)所示。 理想电压源实际上是存在的,实际电压源总具有一定的能量损失,这种实际电压源可以用理想电压源与电阻的串联组合来作为模型(见图1-3b)。其端口的电压与电流的关系为: s s IR U U- = 式中电阻 s R为实际电压源的内阻,上式的关系曲线如图1-3b 所示。显然实际电压源的内阻越小,其特性越接近理想电压源。 实验箱内直流稳压电源的内阻很小,当通过的电流在规定的范围内变化时,可以近似地当作理想电压源来处理。 (a) (b) i s I 1

浙大电力电子技术实验在线课后复习

您的本次作业分数为:98分单选题 1.【全部章节】三相桥式全控整流电路电感性负载实验中,关于整流电压ud描述正确的是? ? A 一个周期内,整流电压ud由6个波头组成 ? B 触发角为30°时,整流电压ud会出现瞬时值为零的点 ? C 移相范围是60° ? D 触发角为60°时,整流电压ud平均值为零 ? 单选题 2.【全部章节】自关断器件及其驱动与保护电路实验中,PWM信号占空比与直流电动机电枢电压及转速关系是? ? A 占空比越大,电枢电压越大,转速越小 ? B 占空比越大,电枢电压越小,转速越大 ? C 占空比越大,电枢电压越大,转速越大

? D 占空比越小,电枢电压越大,转速越大 ? 单选题 3.【全部章节】单相桥式半控整流电路实验中,能够用双踪示波器同时观察触发电路与整流电路波形?为什么? ? A 能 ? B 不能,因为示波器两个探头地线必须接在等电位的位置上 ? C 不能,因为示波器量程不足以观察整流电路波形 ? D 不能,因为示波器无法同时观察低压与高压信号 ? 单选题 4.【全部章节】关于锯齿波同步移相触发器描述错误的是

? A 多个触发器联合使用可以提供间隔60°的双窄脉冲? B 可以提供强触发脉冲 ? C 有同步检测环节,用于保证触发电路与主电路的同步? D 移相范围为30°到150° ? 单选题 5.【全部章节】关于“单管整流”现象的描述,错误的是? A 输出电流为单向脉冲波,含有很大的直流分量 ? B “单管整流”会危害电机、大电感性质的负载 ? C 此时电路中只有一个晶闸管导通 ? D 只在负载功率因数角小于触发角时出现 ?

15电力电子实验指导书

《电力电子技术》 实 验 指 导 书

实验一锯齿波同步移相触发电路实验 一、实验目的 (1)加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 (2)掌握锯齿波同步移相触发电路的调试方法。 二、实验所需挂件及附件 三、实验线路及原理 锯齿波同步移相触发电路的原理图参见挂件说明。锯齿波同步移相触发电路由同步检测、锯齿波形成、移相控制、脉冲形成、脉冲放大等环节组成,其工作原理可参见挂件说明和电力电子技术教材中的相关内容。 四、实验内容 (1)锯齿波同步移相触发电路的调试。 (2)锯齿波同步移相触发电路各点波形的观察和分析。 五、预习要求 (1)阅读电力电子技术教材中有关锯齿波同步移相触发电路的内容,弄清锯齿波同步移相触发电路的工作原理。 (2)掌握锯齿波同步移相触发电路脉冲初始相位的调整方法。 六、思考题 (1)锯齿波同步移相触发电路有哪些特点? (2)锯齿波同步移相触发电路的移相范围与哪些参数有关? (3)为什么锯齿波同步移相触发电路的脉冲移相范围比正弦波同步移相触发电路的移相范围要大? 七、实验方法 (1)将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V(不能打到“交流调速”侧工作,因为DJK03-1的正常工作电源电压为

220V 10%,而“交流调速”侧输出的线电压为240V。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“DZSZ-1型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到220V左右,然后才能将电源接入挂件),用两根导线将200V交流电压接到DJK03-1的“外接220V”端,按下“启动”按钮,打开DJK03-1电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察锯齿波同步触发电路各观察孔的电压波形。 ①同时观察同步电压和“1”点的电压波形,了解“1”点波形形成的原因。 ②观察“1”、“2”点的电压波形,了解锯齿波宽度和“1”点电压波形的关系。 ③调节电位器RP1,观测“2”点锯齿波斜率的变化。 ④观察“3”~“6”点电压波形和输出电压的波形,记下各波形的幅值与宽 度,并比较“3”点电压U 3和“6”点电压U 6 的对应关系。 (2)调节触发脉冲的移相范围 将控制电压U ct 调至零(将电位器RP2顺时针旋到底),用示波器观察同步电压 信号和“6”点U 6的波形,调节偏移电压U b (即调RP3电位器),使α=170°,其波 形如图2-1所示。 图2-1锯齿波同步移相触发电路 (3)调节U ct (即电位器RP2)使α=60°,观察并记录U 1 ~U 6 及输出“G、K” 脉冲电压的波形,标出其幅值与宽度,并记录在下表中(可在示波器上直接读出,读数时应将示波器的“V/DIV”和“t/DIV”微调旋钮旋到校准位置)。 (4)

数字电子技术实验报告汇总

《数字电子技术》实验报告 实验序号:01 实验项目名称:门电路逻辑功能及测试 学号姓名专业、班级 实验地点物联网实验室指导教师时间2016.9.19 一、实验目的 1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。 2. 掌握数字电路实验箱及示波器的使用方法。 3、学会检测基本门电路的方法。 二、实验仪器及材料 1、仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件: 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 三、预习要求 1. 预习门电路相应的逻辑表达式。 2. 熟悉所用集成电路的引脚排列及用途。 四、实验内容及步骤 实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连线。注意集成块芯片不能插反。线接好后经实验指导教师检查无误方可通电实验。实验中

1.与非门电路逻辑功能的测试 (1)选用双四输入与非门74LS20一片,插入数字电路实验箱中对应的IC座,按图1.1接线、输入端1、2、4、5、分别接到K1~K4的逻辑开关输出插口,输出端接电平显 图 1.1 示发光二极管D1~D4任意一个。 (2)将逻辑开关按表1.1的状态,分别测输出电压及逻辑状态。 表1.1 输入输出 1(k1) 2(k2) 4(k3) 5(k4) Y 电压值(v) H H H H 0 0 L H H H 1 1 L L H H 1 1 L L L H 1 1 L L L L 1 1 2. 异或门逻辑功能的测试

图 1.2 (1)选二输入四异或门电路74LS86,按图1.2接线,输入端1、2、4、5接逻辑开关(K1~K4),输出端A、B、Y接电平显示发光二极管。 (2)将逻辑开关按表1.2的状态,将结果填入表中。 表1.2 输入输出 1(K1) 2(K2) 4(K35(K4) A B Y 电压(V) L H H H H L L L H H H H L L L H H L L L L L H H 1 1 1 1 1 1 1 1

数字电路实验指导书2016

***************************************************** ***************************************************** *********************************************** 数字电路 实验指导书 广东技术师范学院天河学院电气工程系

目录 实验系统概术 (3) 一、主要技术性能 (3) 二、数字电路实验系统基本组成 (4) 三、使用方法 (12) 四、故障排除 (13) 五、基本实验部分 (14) 实验一门电路逻辑功能及测试 (14) 实验二组合逻辑电路(半加器全加器及逻辑运算) (18) 实验三译码器和数据选择器 (43) 实验四触发器(一)R-S,D,J-K (22) 实验五时序电路测试及研究 (28) 实验六集成计数器161(设计) (30) 实验七555时基电路(综合) (33) 实验八四路优先判决电路(综合) (43) 附录一DSG-5B型面板图 (45) 附录二DSG-5D3型面板图 (47) 附录三常用基本逻辑单元国际符号与非国际符号对照表 (48) 附录四半导体集成电路型号命名法 (51) 附录五集成电路引脚图 (54)

实验系统概述 本实验系统是根据目前我国“数字电子技术教学大纲”的要求,配合各理工科类大专院校学生学习有关“数字基础课程,而研发的新一代实验装置。”配上Lattice公司ispls1032E可完成对复杂逻辑电路进行设计,编译和下载,即可掌握现代数字电子系统的设计方法,跨入EDA 设计的大门。 一、主要技术性能 1、电源:采用高性能、高可靠开关型稳压电源、过载保护及自动恢复功能。 输入:AC220V±10% 输出:DC5V/2A DC±12V/0.5A 2、信号源: (1)单脉冲:有两路单脉冲电路采用消抖动的R-S电路,每按一次按钮开关产生正、负脉冲各一个。 (2)连续脉冲:10路固定频率的方波1Hz、10Hz、100Hz、1KHz、10KHz、100KHz、500KHz、1MHz、5MHz、10MHz。 (3)一路连续可调频率的时钟,输出频率从1KHz~100KHz的可调方波信号。 (4)函数信号发生器 输出波形:方波、三角波、正弦波 频率范围:分四档室2HZ~20HZ、20HZ~200HZ、200HZ~2KHZ、2KHZ~20HZ。 3、16位逻辑电平开关(K0~K15)可输出“0”、“1”电平同时带有电平指示,当开关置“1”电平时,对应的指示灯亮,开关置“0”电平时,对应的指示灯灭,开关状态一目了然。 4、16位电平指示(L0~L15)由红、绿灯各16只LED及驱动电路组成。当正逻辑“1”电平输入时LED红灯点亮,反之LED绿灯点亮。

#电力电子技术实验一、二、三

实验一锯齿波同步触发电路实验 一、实验目的 1、加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 2、掌握锯齿波同步移相触发电路的调试方法。 二、实验主要仪器与设备: 三、实验原理 锯齿波同步移相触发电路的原理图如图1-1所示。锯齿波同步移相触发电路由同步检测、锯齿波形成、移相控制、脉冲形成、脉冲放大等环节组成,其工作原理可参见电力电子技术教材中的相关内容。 图1-1 锯齿波同步移相触发电路原理图 图1-1中,由V3、VD1、VD2、C1等元件组成同步检测环节,其作用是利用同步电压U T来控制锯齿波产生的时刻及锯齿波的宽度。由V1、V2等元件组成的恒流源电路,当V3截止时,恒流源对C2充电形成锯齿波;当V3导通时,电容C2通过R4、V3放电。调节电位器RP1可以调节恒流源的电流大小,从而改变了锯齿波的斜率。控制电压U ct、偏移电压U b 和锯齿波电压在V5基极综合叠加,从而构成移相控制环节,RP2、RP3分别调节控制电压U ct和偏移电压U b的大小。V6、V7构成脉冲形成放大环节,C5为强触发电容改善脉冲的前

沿,由脉冲变压器输出触发脉冲,电路的各点电压波形如图1-2所示。 本装置有两路锯齿波同步移相触发电路,I和II,在电路上完全一样,只是锯齿波触发电路II输出的触发脉冲相位与I恰好互差180°,供单相整流及逆变实验用。 电位器RP1、RP2、RP3均已安装在挂箱的面板上,同步变压器副边已在挂箱内部接好,所有的测试信号都在面板上引出。 图1-2 锯齿波同步移相触发电路各点电压波形(α=90°) 四、实验内容及步骤

1、实验内容: (1)锯齿波同步移相触发电路的调试。 (2)锯齿波同步移相触发电路各点波形的观察和分析。 2、实验步骤: (1) 将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V(不能打到“交流调速”侧工作,因为DJK03-1的正常工作电源电压为220V±10%,而“交流调速”侧输出的线电压为240V。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“DZSZ-1型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到220V左右,然后才能将电源接入挂件),用两根导线将200V交流电压接到DJK03-1的“外接220V”端,按下“启动”按钮,打开DJK03-1电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察锯齿波同步触发电路各观察孔的电压波形。 ①同时观察同步电压和“1”点的电压波形,了解“1”点波形形成的原因。 ②观察“1”、“2”点的电压波形,了解锯齿波宽度和“1”点电压波形的关系。 ③调节电位器RP1,观测“2”点锯齿波斜率的变化。 ④观察“3”~“6”点电压波形和输出电压的波形,记下各波形的幅值与宽度,并比较“3”点电压U3和“6”点电压U6的对应关系。 (2)调节触发脉冲的移相范围 将控制电压U ct调至零(将电位器RP2顺时针旋到底),用示波器观察同步电压信号和“6”点U6的波形,调节偏移电压U b(即调RP3电位器),使α=170°,其波形如图1-3所示。 图1-3锯齿波同步移相触发电路 (3)调节U ct(即电位器RP2)使α=60°,观察并记录U1~U6及输出“G、K”脉冲电压的波形,标出其幅值与宽度,并记录在下表中(可在示波器上直接读出,读数时应将示波器的“V/DIV”和“t/DIV”微调旋钮旋到校准位置)。

《电子技术实验1》实验指导书

实验一仪器使用 一、实验目的 1.明确函数信号发生器、直流稳压稳流电源和交流电压表的用途。 2.明确上述仪器面板上各旋钮的作用,学会正确的使用方法。 3.学习用示波器观察交流信号波形和测量电压、周期的方法。 二、实验仪器 8112C函数信号发生器一台 DF1731SC2A可调式直流稳压稳流电源一台 DF2170B交流电压表一台 双踪示波器一台 三、实验内容 1.调节8112C函数信号发生器输出1KHZ、100mV的正弦波信号,将操

2.将信号发生器输出的信号接入交流电压表测量,配合调节函数信号发生器的“MAPLITUDE POWER”旋钮,使其输出为100mV。 3.将上述信号接入双踪示波器测量其信号电压的峰峰值和周期值,并将操作方法填入下表。

四、实验总结 1、整理实验记录、分析实验结果及存在问题等。 五、预习要求 1.对照附录的示意图和说明,熟悉仪器各旋钮的作用。 2.写出下列预习思考题答案: (1)当用示波器进行定量测量时,时基扫描微调旋钮和垂直微调旋钮应处在什么位置?

(2)某一正弦波,其峰峰值在示波器屏幕上占垂直刻度为5格,一个周期占水平刻度为2格,垂直灵敏度选择旋钮置0.2V/div档,时基扫速选择旋钮置0.1mS/div档,探头衰减用×1,问被测信号的有效值和频率为多少?如何用器其他仪器进行验证?

附录一:8112C函数信号发生器 1.用途 (1)输出基本信号为正弦波、方波、三角波、脉冲波、锯齿波。输出幅值从5mv~20v,频率范围从0.1HZ~2MHZ。 (2)作为频率计数器使用,测频范围从10HZ~50MHZ,最大允许输入为30Vrms。 2.面板说明

相关主题
文本预览
相关文档 最新文档