当前位置:文档之家› 华侨大学微电子器件与电路实验实验报告(IC2019)实验6

华侨大学微电子器件与电路实验实验报告(IC2019)实验6

华侨大学微电子器件与电路实验实验报告(IC2019)实验6
华侨大学微电子器件与电路实验实验报告(IC2019)实验6

微电子器件与电路实验(集成)实验报告

姓名学号实验时间2019.05.27 操作成绩报告成绩实验成绩

实验名称实验六集成MOSFET沟道长度调制系数分析

实验设备(1)计算机 (2)操作系统:Centos

(3)软件平台:Cadence Virtuoso (4)工艺模型TSMC RF0.18um

实验目的1.掌握深亚微米工艺MOSFET沟道长度调制系数和沟道长度之间的关系

2.掌握深亚微米工艺MOSFET沟道长度调制系数和过驱动电压之间的关系

3.掌握深亚微米工艺MOSFET沟道长度调制系数和源漏电压之间的关系

实验要求

1. 实验前按要求阅读器件说明文档,阅读实验操作文档,熟悉实验过程及操作步骤

2. 实验过程中按实验报告要求操作、仿真、记录数据(波形)

3. 实验结果经指导老师检查、验收,经允许后方可关机,离开实验室

3、实验后按要求处理数据和波形,回答问题。实验报告打印后,于下次实验时间缴交。

实验内容:

实验6.1 沟道长度调制系数Lambda随沟道长度漂移特性

固定沟道宽度的MOSFET器件在指定偏置状态下,对沟道长度进行DC分析,通过提取电学参数计算沟道长度调制系数,并使用EXCELL或Matlab软件作出Lambda随L漂移特性曲线。

实验6.2 沟道长度调制系数Lambda随过驱动电压漂移特性

固定沟道宽度和长度的MOSFET器件在指定偏置状态下,对过驱动电压进行DC分析,通过提取电学参数计算沟道长度调制系数,并使用EXCELL或Matlab软件作出Lambda随过驱动电压的漂移特性曲线。

实验6.3 沟道长度调制系数Lambda随过栅源电压漂移特性

固定沟道宽度和长度的MOSFET器件在指定偏置状态下,对源漏电压进行DC分析,通过提取电学参数计算沟道长度调制系数,并使用EXCELL或Matlab软件作出Lambda随源漏电压的漂移特性曲线。

华侨大学信息科学与工程学院电子工程系

实验五--时序逻辑电路实验报告

实验五时序逻辑电路(计数器和寄存器)-实验报告 一、实验目的 1.掌握同步计数器设计方法与测试方法。 2.掌握常用中规模集成计数器的逻辑功能和使用方法。 二、实验设备 设备:THHD-2型数字电子计数实验箱、示波器、信号源 器件:74LS163、74LS00、74LS20等。 三、实验原理和实验电路 1.计数器 计数器不仅可用来计数,也可用于分频、定时和数字运算。在实际工程应用中,一般很少使用小规模的触发器组成计数器,而是直接选用中规模集成计数器。 2.(1) 四位二进制(十六进制)计数器74LS161(74LS163) 74LSl61是同步置数、异步清零的4位二进制加法计数器,其功能表见表5.1。 74LSl63是同步置数、同步清零的4位二进制加法计数器。除清零为同步外,其他功能与74LSl61相同。二者的外部引脚图也相同,如图5.1所示。 表5.1 74LSl61(74LS163)的功能表 清零预置使能时钟预置数据输入输出 工作模式R D LD EP ET CP A B C D Q A Q B Q C Q D 0 ××××()××××0 0 0 0 异步清零 1 0 ××D A D B D C D D D A D B D C D D同步置数 1 1 0 ××××××保持数据保持 1 1 ×0 ×××××保持数据保持 1 1 1 1 ××××计数加1计数3.集成计数器的应用——实现任意M进制计数器 一般情况任意M进制计数器的结构分为3类,第一类是由触发器构成的简单计数器。第二类是由集成二进制计数器构成计数器。第三类是由移位寄存器构成的移位寄存型计数器。第一类,可利用时序逻辑电路的设计方法步骤进行设计。第二类,当计数器的模M较小时用一片集成计数器即可以实现,当M较大时,可通过多片计数器级联实现。两种实现方法:反馈置数法和反馈清零法。第三类,是由移位寄存器构成的移位寄存型计数器。 4.实验电路: 十进制计数器 同步清零法 同步置数法

完整版模拟电子电路实验报告

. 实验一晶体管共射极单管放大器 一、实验目的 1、学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响。 2、掌握放大器电压放大倍数、输入电阻、输出电阻及最大不失真输出电压的测试方法。 3、熟悉常用电子仪器及模拟电路实验设备的使用。 二、实验原理 图2-1为电阻分压式工作点稳定单管放大器实验电路图。它的偏置电路采用R 和R组成的分压电路,并在发射极中接有电阻R,以稳定放大器的静态工EB1B2作点。当在放大器的输入端加入输入信号u后,在放大器的输出端便可得到一i个与u相位相反,幅值被放大了的输出信号u,从而实现了电压放大。0i 图2-1 共射极单管放大器实验电路 在图2-1电路中,当流过偏置电阻R和R 的电流远大于晶体管T 的 B2B1基极电流I时(一般5~10倍),则它的静态工作点可用下式估算B教育资料.. R B1U?U CCB R?R B2B1 U?U BEB I??I EC R E

)R+R=UU-I(ECCCCEC电压放大倍数 RR // LCβA??V r be输入电阻 r R/// R=R/beiB1 B2 输出电阻 R R≈CO由于电子器件性能的分散性比较大,因此在设计和制作晶 体管放大电路时, 为电路设计提供必离不开测量和调试技术。在设计前应测量所用元器件的参数,还必须测量和调试放大器的静态工作点和各要的依据,在完成设计和装配以后,因此,一个优质放大器,必定是理论设计与实验调整相结合的产物。项性能指标。除了学习放大器的理论知识和设计方法外,还必须掌握必要的测量和调试技术。消除干扰放大器静态工作点的测量与调试,放大器的测量和调试一般包括:与自激振荡及放大器各项动态参数的测量与调试等。、放大器静态工作点的测量 与调试 1 静态工作点的测量1) 即将放大的情况下进行,=u 测量放大器的静态工作点,应在输入信号0 i教育资料. . 器输入端与地端短接,然后选用量程合适的直流毫安表和直流电压表,分别测量晶体管的集电极电流I以及各电极对地的电位U、U和U。一般实验中,为了避 ECCB免断开集电极,所以采用测量电压U或U,然后算出I的方法,例如,只要 测CEC出U,即可用E UU?U CECC??II?I,由U确定I(也可根据I),算出CCC CEC RR CE同时也能算出U=U-U,U=U-U。EBEECBCE为了减小误差,提高测量精度,应选用内阻较高的直流电压表。 2) 静态工作点的调试 放大器静态工作点的调试是指对管子集电极电流I(或U)的调整与测试。 CEC静态工作点是否合适,对放大器的性能和输出波形都有很大影响。如工作点偏高,放大器在加入交流信号以后易产生饱和失真,此时u的负半周将被削底,O 如图2-2(a)所示;如工作点偏低则易产生截止失真,即u的正半周被缩顶(一 O般截止失真不如饱和失真明显),如图2-2(b)所示。这些情况都不符合不失真放大的要求。所以在选定工作点以后还必须进行动态调试,即在放大器的输入端 加入一定的输入电压u,检查输出电压u的大小和波形是否满足要求。如不满Oi

微电子实验报告一

实验一MOS管的基本特性 班级姓名学号指导老师袁文澹 一、实验目的 1、熟练掌握仿真工具Hspice相关语法; 2、熟练掌握MOS管基本特性; 3、掌握使用HSPICE对MOS电路进行SPICE仿真,以得到MOS电路的I-V曲线。 二、实验内容及要求 1、熟悉Hspice仿真工具; 2、使用Hspice仿真MOS的输出特性,当VGs从0~5V变化,Vds分别从1V、2V、3V、4V 和5V时的输出特性曲线; 三、实验原理 1、N沟道增强型MOS管电路图 a)当Vds=0时,Vgs=0的话不会有电流,即输出电流Id=0。 b)当Vgs是小于开启电压的一个确定值,不管Vds如何变化,输出电流Id都不会改变。 c)当Vgs是大于开启电压的一个确定值,在一定范围内增大Vds时,输出电流Id增大。但当 出现预夹断之后,再增大Vds,输出电流Id不会再变化。 2、NMOS管的输出特性曲线

四、实验方法与步骤 实验方法: 计算机平台:(在戴尔计算机平台、Windows XP操作系统。) 软件仿真平台:(在VMware和Hspice软件仿真平台上。) 实验步骤: 1、编写源代码。按照实验要求,在记事本上编写MOS管输出特性曲线的描述代码。并以aaa.sp 文件扩展名存储文件。 2、打开Hspice软件平台,点击File中的aaa.sp一个文件。 3、编译与调试。确定源代码文件为当前工程文件,点击Complier进行文件编译。编译结果有错误或警告,则将要调试修改直至文件编译成功。 4、软件仿真运行及验证。在编译成功后,点击simulate开始仿真运行。点击Edit LL单步运行查看结果,无错误后点击Avanwaves按照程序所述对比仿真结果。 5、断点设置与仿真。… 6、仿真平台各结果信息说明. 五、实验仿真结果及其分析 1、仿真过程 1)源代码 *Sample netlist for GSMC $对接下来的网表进行分析 .TEMP 25.0000 $温度仿真设定 .option abstol=1e-6 reltol=1e-6 post ingold $设定abstol,reltol的参数值 .lib 'gd018.l' TT $使用库文件 * --- Voltage Sources --- vdd VDD 0 dc=1.8 $分析电压源 vgs g 0 0 $分析栅源电压 vds d 0 dc=5 $分析漏源电压 vbs b 0 dc=0 $分析衬源电压 * --- Inverter Subcircuit --- Mnmos d g 0 b NCH W=30U L=6U $Nmos管的一些参数 * --- Transient Analysis --- .dc vds 0 5 0.1 SWEEP vgs 1 5 1 $双参数直流扫描分析 $vds从0V~5V,仿真有效点间隔取0.1 $vgs取1V、2V、3V、4V、5V

东南大学 数字电路实验 第4章_时序逻辑电路

东南大学电工电子实验中心 实验报告 课程名称:数字逻辑电路设计实践 第 4 次实验 实验名称:基本时序逻辑电路 院(系):信息科学与工程学院专业:信息工程姓名:学号: 实验室: 实验组别: 同组人员:无实验时间: 评定成绩:审阅教师:

时序逻辑电路 一、实验目的 1.掌握时序逻辑电路的一般设计过程; 2.掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求; 3.掌握时序逻辑电路的基本调试方法; 4.熟练使用示波器和逻辑分析仪观察波形图,并会使用逻辑分析仪做状态分析。 二、实验原理 1.时序逻辑电路的特点(与组合电路的区别): ——具有记忆功能,任一时刻的输出信号不仅取决于当时的输出信号,而且还取决于电路原来的值,或者说还与以前的输入有关。 2.时序逻辑电路的基本单元——触发器(本实验中只用到D触发器) 触发器实现状态机(流水灯中用到) 3.时序电路中的时钟 1)同步和异步(一般都是同步,但实现一些任意模的计数器时要异步控制时钟端) 2)时钟产生电路(电容的充放电):在内容3中的32768Hz的方波信号需要自己通过 电路产生,就是用到此原理。 4.常用时序功能块 1)计数器(74161) a)任意进制的同步计数器:异步清零;同步置零;同步置数;级联 b)序列发生器 ——通过与组合逻辑电路配合实现(计数器不必考虑自启动) 2)移位寄存器(74194) a)计数器(一定注意能否自启动) b)序列发生器(还是要注意分析能否自启动) 三、实验内容 1.广告流水灯 a.实验要求 用触发器、组合函数器件和门电路设计一个广告流水灯,该流水等由8个LED组成,工作时始终为1暗7亮,且这一个暗灯循环右移。 ①写出设计过程,画出设计的逻辑电路图,按图搭接电路。 ②将单脉冲加到系统时钟端,静态验证实验电路。 ③将TTL连续脉冲信号加到系统时钟端,用示波器和逻辑分析仪观察并记录时钟脉冲 CLK、触发器的输出端Q2、Q1、Q0和8个LED上的波形。 b.实验数据 ①设计电路。 1)问题分析 流水灯的1暗7亮对应8个状态,故可采用3个触发器实现;而且题目要求输出8个信号控制8个灯的亮暗,故可以把3个触发器的输出加到3-8译码器的控制端,对应的8个译码器输出端信号控制8个灯的亮暗。

电子电路实训心得体会

电子课程设计心得体会 通过一周的电子设计,我学会了如何将书本上学到的知识应用与实践,学会了一些基本的电子电路的设计、仿真与焊接,虽然在这个过程中我遇到了很多麻烦,但是在解决这些问题的过程中我也提高了自身的专业素质,这次设计不仅增强了自己在专业方面的信心,鼓舞了自己,更是一次兴趣的培养。 这次电子实习,我所选的课题是“倒计时光控跑马灯”,当拿到选题时,我认为这个不是很难。但当认真的考虑时,我才发现一切并非我想的那么简单。无论一个多么简单的课题,他所牵涉的知识比较多的,比如我这个选题不仅仅包括许多模电器件和数电器件,它还包含许多以前我没有接触或熟知的器件。所以我在设计时也在不断的学习,了解每一个器件的结构、工作原理及其运用。经过与搭档的多次交流,我们才确定了最后的电路方案,然后在多次的电路仿真之中,我们又进行了更加完善的修改,以达到万无一失。 第三天的任务主要是焊接自己设计的电路板。开始,我们都充满了好奇,毕竟这是第一次走进实验室去焊接电路板。不过才过了一天,所有的好奇心都烟消云散,换而的是苦与累。我这时才知道焊电路板确实是一件苦差事。焊电路板要人非常的细心,并且要有一定的耐心,因为焊接示若稍不注意就会使电路短路或者焊错。经过一两天的坚苦奋斗,终于焊完的。但当我们去测试时却无法出现预期的结果。然后我没办法只得去慢慢检查,但也查不出个所以然来。我想实际的电路可能与仿真的电路会产生差错,毕竟仿真的是在虚拟的界面完成的。 所以在接下来的几天我都在慢慢调试和修改中度过,想想那几天过的真的好累,在一次次的失败中修正却还是得不到正确的结果。好几次都想放弃,但最后还是坚持下来。经过多次调试,最后还是得到正确的结果,那一刻,我感觉如释重负,感觉很有成就感。一个星期的电子实习已经过去,但是使我对电子设计有了更的了解,使我学了很多,具体如下:1. 基本掌握手工电烙铁的焊接技能够独立的完成简单电子产品的安装与焊接。熟悉电子产品装工艺的生产流程,了解电子产品的焊接、调试与维修方法;2. 熟悉了有关电子设计与仿真软件的使用,能够熟练使用普通万用表;3.熟悉常用电子器件的类别、型号、规格、性能及其使用范围,能够灵活的运用 4.增强自己解决问题的能力,利用网上和图书馆的资源,搜索查找得到需要的信息; 5.明白了团队合作的重要性,和搭档相互讨论, 学会了怎么更好解决问题。篇二:电子技术实训心得体会 电子技术实训心得体会 开学的第一周,我们迎来了新学期里的第一堂课--电子工艺实训课。对于新学期里的新课程、新知识,我有种迫不及待的感觉。 在这一学期里,我们首先接触的是对电子元件的初步认识,还有电路的结构和布局。而这一实训课里最重要的东西便是日常生活里所见到的电焊。在课堂上,老师指导了我们对电焊的使用,由于在焊接过程中,加热的电焊是比较具有危险性的,如果使用不当会对自己或别人造成伤害。所以我们必须严格按照相关规定及正确的使用方法去使用电焊,避免烙伤事故的发生。 当我们初步掌握了电子元件的焊接方法技巧之后,便可以开始尝试焊接一些电路板元件了。其中电子元件的布局是很重要的。因为它关联到电路连接的方便简洁。 短短的一周过去了,在这一周里,如果没有老师的指导,我们的实训将会有很大的败笔,实训课无法得以完成,其次,在这一次实训中,使我明白,与同伴的合作交流是很重要的。团队精神要劳记在心里。与同性分享成功的喜悦难道不是一种很美好的事么? 实训课已渐入尾声,通过这一次,我们又收获到了很多珍贵的知识,而这与老师的辛勤是离不开的。在此,我和全体同学对老师说一声谢谢!老师您辛苦了!篇三:电子电路实训报告

时序逻辑电路实验报告

时序逻辑电路实验报告 一、实验目的 1. 加深理解时序逻辑电路的工作原理。 2. 掌握时序逻辑电路的设计方法。 3. 掌握时序逻辑电路的功能测试方法。 二、实验环境 1、PC机 2、Multisim软件工具 三、实验任务及要求 1、设计要求: 要求设计一个计数器完成1→3→5→7→9→0→2→4→6→8→1→…的循环计数(设初值为1),并用一个数码管显示计数值(时钟脉冲频率为约1Hz)。 2、实验内容: (1)按要求完成上述电路的功能。 (2)验证其功能是否正确。 四、实验设计说明(简述所用器件的逻辑功能,详细说明电路的设计思路和过程) 首先根据题目要求(即要完成1到9的奇数循环然后再0到8的偶数循环)画出真值表,如下图。画出真值表后,根据真值表画出各次态对应的卡诺图,如下图。然后通过化简卡诺图,得到对应的次态的状态方 程;

然后开始选择想要用于实现的该电路的器件,由于老师上课时所用的例题是用jk触发器完成的,我觉得蛮不错的,也就选择了同款的jk触发器;选好器件之后,根据状态方程列出jk触发器的驱动方程。然后根据驱动方程连接好线路图,为了连接方便,我也在纸上预先画好了连接图,以方便照着连接。接下来的工作就是在multisim上根据画好的草图连接器件了,然后再接上需要的显示电路,即可完成。

五、实验电路(画出完整的逻辑电路图和器件接线图)

六、总结调试过程所遇到的问题及解决方法,实验体会 1、设计过程中遇到过哪些问题?是如何解决的? 在设计过程中最大的问题还是忘记设计的步骤吧,因为老师是提前将实验内容已经例题讲解给我们听的,而我开始实验与上课的时间相隔了不短的时间,导致上课记下来的设计步骤忘得七七八八,不过好在是在腾讯课堂上得网课,有回放,看着回放跟着老师的思路走一遍后,问题也就迎刃而解了,后面的设计也就是将思路步骤走一遍而已,没再遇到什么困难。 2、通过此次时序逻辑电路实验,你对时序逻辑电路的设计是否有更清楚的认识?若没有,请分析原因;若有,请说明在哪些方面更加清楚。 通过这次时序逻辑电路实验,我最大的感触就是实验设计的思路与步骤一定要清晰,思路与步骤的清晰与否真的是造成实验设计是否困难的最重要的因素。清晰的话,做起实验来如同顺水推舟,毫不费力,不清晰的话则如入泥潭,寸步难行。

微电子综合实验报告

微电子综合实验报告实验题目:⒚同或门电路仿真 班级:电子科学与技术1201 姓名:XXX 学号:XXX 时间:2015.5—2015.6

一、电路图。 OUT A B (IN1) (IN2) 分别给上图中的每个管子和结点标注,如下所述: P管分别标记为:MP1、MP2、MP3;N管分别标记为:MN1、MN2、MP3;A、B端分别标记为:IN1、IN2;输出端标记为:OUT;N 管之间连接点标记为:1;连接反相器的点标记为:2;如上图所示。 其真值表如下所示:

二、电路仿真表。 *dounand MN1 1 IN1 0 0 NMOS L=0.6U W=2.4U MN2 2 IN2 1 0 NMOS L=0.6U W=2.4U MN3 OUT 2 0 0 NMOS L=0.6U W=2.4U MP1 IN2 IN1 2 VDD PMOS L=0.6U W=4.4U MP2 IN1 IN2 2 VDD PMOS L=0.6U W=4.4U MP3 OUT 2 VDD VDD PMOS L=0.6U W=4.4U VDD VDD 0 DC 5V VIN1 IN1 0 PULSE(0 5 0 0.1N 0.1N 5N 10N) VIN2 IN2 0 PULSE(0 5 0 0.1N 0.1N 10N 20N) .TRAN 1N 100N UIC .LIB './HJ.L' TT .END 下图为无负载电容,IN1=10ns,IN2=20ns时的波形图。 从图中可以发现,本来输出应该是5v,实际输出只有4.8v,可见输出有阈值损失。 原因是N管传高电平、P管传低电平时,输出半幅,所以存在阈值损失。 三、输出加负载电容。 1、C=0.2p ;IN1=10ns ;IN2=20ns 时波形如下:

数字逻辑电路实验报告

数字逻辑电路 实验报告 指导老师: 班级: 学号: 姓名: 时间: 第一次试验一、实验名称:组合逻辑电路设计

二、试验目的: 1、掌握组合逻辑电路的功能测试。 2、验证半加器和全加器的逻辑功能。 3、、学会二进制数的运算规律。 三、试验所用的器件和组件: 二输入四“与非”门组件3片,型号74LS00 四输入二“与非”门组件1片,型号74LS20 二输入四“异或”门组件1片,型号74LS86 四、实验设计方案及逻辑图: 1、设计一位全加/全减法器,如图所示: 电路做加法还是做减法是由M决定的,当M=0时做加法运算,当M=1时做减法运算。当作为全加法器时输入信号A、B和Cin分别为加数、被加数和低位来的进位,S 为和数,Co为向上的进位;当作为全减法时输入信号A、B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上位的借位。 (1)输入/输出观察表如下: (2)求逻辑函数的最简表达式 函数S的卡诺图如下:函数Co的卡诺如下: 化简后函数S的最简表达式为: Co的最简表达式为:

(3)逻辑电路图如下所示: 2、舍入与检测电路的设计: 用所给定的集成电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大于或等于5是,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如图所示: (1)输入/输出观察表如下: B8 B4 B2 B1 F2 F1 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 1 1 0 0 0 1 0 0 1 0 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 1 1 1

#电力电子技术实验报告答案

实验一锯齿波同步移相触发电路实验 一、实验目的 (1)加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 (2)掌握锯齿波同步移相触发电路的调试方法。 三、实验线路及原理 锯齿波同步移相触发电路的原理图如图1-11所示。锯齿波同步移相触发电路由同步检测、锯齿波形成、移相控制、脉冲形成、脉冲放大等环节组成,其工作原理可参见1-3节和电力电子技术教材中的相关内容。 四、实验内容 (1)锯齿波同步移相触发电路的调试。 (2)锯齿波同步移相触发电路各点波形的观察和分析。 五、预习要求 (1)阅读本教材1-3节及电力电子技术教材中有关锯齿波同步移相 触发电路的内容,弄清锯齿波同步移相触发电路的工作原理。 (2)掌握锯齿波同步移相触发电路脉冲初始相位的调整方法。 六、思考题 (1)锯齿波同步移相触发电路有哪些特点? (2)锯齿波同步移相触发电路的移相范围与哪些参数有关? (3)为什么锯齿波同步移相触发电路的脉冲移相范围比正弦波同步移相触发电路的移相范围要大? 七、实验方法 (1)将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V(不能打到“交流调速”侧工作,因为DJK03-1的正常工作电源电压为220V 10%,而“交流调速”侧输出的线电压为240V。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“DZSZ-1型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到220V左右,然后才能将电源接入挂件),用两根导线将200V交流电压接到DJK03-1的“外接220V”端,按下“启动”按钮,打开DJK03-1电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察锯齿波同步触发电路各观察孔的电压波形。 ①同时观察同步电压和“1”点的电压波形,了解“1”点波形形成的原因。 ②观察“1”、“2”点的电压波形,了解锯齿波宽度和“1”点电压波形的关系。 ③调节电位器RP1,观测“2”点锯齿波斜率的变化。 ④观察“3”~“6”点电压波形和输出电压的波形,记下各波形的幅值与宽度,并比较“3”点电压U3和“6”点电压U6的对应关系。 (2)调节触发脉冲的移相范围

数字电路与系统设计实验报告

数字电路与系统设计实验报告 学院: 班级: 姓名:

实验一基本逻辑门电路实验 一、实验目的 1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验设备 1、二输入四与非门74LS00 1片 2、二输入四或非门74LS02 1片 3、二输入四异或门74LS86 1片 三、实验内容 1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验方法 1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。 五、实验过程 1、测试74LS00逻辑关系 (1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯) (2)真值表 2、测试74LS02逻辑关系

(1)接线图 (2)真值表 3、测试74LS86逻辑关系接线图 (1)接线图 (2)真值表 六、实验结论与体会 实验是要求实践能力的。在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。实在检查不出来,可以请老师和同学帮忙。

实验二逻辑门控制电路实验 一、实验目的 1、掌握基本逻辑门的功能及验证方法。 2、掌握逻辑门多余输入端的处理方法。 3、学习分析基本的逻辑门电路的工作原理。 二、实验设备 1、基于CPLD的数字电路实验系统。 2、计算机。 三、实验内容 1、用与非门和异或门安装给定的电路。 2、检验它的真值表,说明其功能。 四、实验方法 按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。 五、实验过程 1、用3个三输入端与非门IC芯片74LS10安装如图所示的电路。 从实验台上的时钟脉冲输出端口选择两个不同频率(约7khz和14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 2、实验得真值表

模拟电子线路实验实验报告

模拟电子线路实验实验 报告 Document number:NOCG-YUNOO-BUYTT-UU986-1986UT

网络高等教育 《模拟电子线路》实验报告 学习中心:浙江建设职业技术学院奥鹏学习中心层次:高中起点专科 专业:电力系统自动化技术 年级: 12 年秋季 学号: 学生姓名:

实验一常用电子仪器的使用 一、实验目的 1.了解并掌握模拟电子技术实验箱的主要功能及使用方法。 2.了解并掌握数字万用表的主要功能及使用方法。 3.学习并掌握TDS1002型数字存储示波器和信号源的基本操作方 法。 二、基本知识 1.简述模拟电子技术实验箱布线区的结构及导电机制。 布线区面板以大焊孔为主,其周围以十字花小孔结构相结合,构成接点的连接形式,每个大焊孔与它周围的小孔都是相通的。 2.试述NEEL-03A型信号源的主要技术特性。 ①输出波形:三角波、正弦波、方波、二脉、四脉、八脉、单次脉冲信号; ②输出频率:10Hz~1MHz连续可调; ③幅值调节范围:0~10V P-P连续可调; ④波形衰减:20dB、40dB; ⑤带有6位数字频率计,既可作为信号源的输出监视仪表,也可以作外侧频率计用。 注意:信号源输出端不能短路。 3.试述使用万用表时应注意的问题。

使用万用表进行测量时,应先确定所需测量功能和量程。 确定量程的原则: ①若已知被测参数大致范围,所选量程应“大于被测值,且最接近被测值”。 ②如果被测参数的范围未知,则先选择所需功能的最大量程测量,根据初测结果逐步把量程下调到最接近于被测值的量程,以便测量出更加准确的数值。 如屏幕显示“1”,表明已超过量程范围,须将量程开关转至相应档位上。 4.试述TDS1002型示波器进行自动测量的方法。 按下“测量”按钮可以进行自动测量。共有十一种测量类型。一次最多可显示五种。 按下顶部的选项按钮可以显示“测量1”菜单。可以在“信源”中选择在其上进行测量的通道。可以在“类型”中选择测量类型。 测量类型有:频率、周期、平均值、峰-峰值、均方根值、最小值、最大值、上升时间、下降时间、正频宽、负频宽。 三、预习题 1.正弦交流信号的峰-峰值=_2__×峰值,峰值=__根号2__×有效值。 2.交流信号的周期和频率是什么关系 两者是倒数关系。 周期大也就是频率小,频率大也就是周期长

《单片机系统设计》实验报告

短学期实验报告 (单片机系统设计) 题目: 专业: 指导教师: 学生姓名: 学号: 完成时间: 成绩:

基于单片机的交流电压表设计 目录 1系统的设计要求 (2) 2系统的硬件要求 (2) 2.1真有效值转换电路的分析 (2) 2.2放大电路的设计 (3) 2.3A/D转换电路的设计 (3) 2.4单片机电路的分析 (4) 2.5显示电路 (4) 3 软件设计 (5) 3.1 软件的总流程图 (5) 3.2 初始化定义与定时器初始化流程图 (5) 3.3 A/D转换流程图 (6) 3.4 数据处理流程图 (6) 3.5 数据显示流程图 (7) 4 调试 (7) 4.1 调试准备 (7) 4.2 关键点调试 (7) 4.3 测试结果 (8) 4.4 误差分析 (8) 5结束语 (8) 5.1 总结 (9) 5.2 展望 (9) 附录1 总原理图 (10) 附录2 程序 (10) 附录3 实物图 (14)

基于单片机的交流电压表设计 ****学院 ****专业 姓名 指导老师:******* 1 设计要求 (1)运用单片机实现真有效值的检测和显示。 (2)数据采集使用中断方式,显示内容为有效值与峰值交替进行。 2 硬件设计 本系统是完成一个真有效值的测量和显示,利用AD737将交流电转换成交流电压的有效值,用ADC0804实现模数转换,再通过单片机用数码管来显示。系统原理框图如图2-1所示。系统框图由真有效值转换电路、放大电路、A/D 转换电路、单片机电路、数码管显示电路五部分。 图2-1 原理框图 2.1 真有效值转换电路 真有效值转换电路主要是利用AD737芯片来实现真有效值直流变换的,即将输入的交流信号转换成直流信号的有效值,其原理图如图2-2所示。 图2-2 真有效值转换电路 由于AD737最大输入电压为200mV, 所以需要接两个二极管来限制输入电压,起到限幅的作用。如图中D1、D2,由IN4148构成,电容C6是耦合电容,电阻R1是限流电阻。 2.2 放大电路设计 放大电路主要是利用运放uA741来进行放大,电路原理图如图2-3所示。 A/D 转换 单片机 电路 显示 电路 转换 电路 交流 信号 放大 电路

电工电子工艺基础实验报告完整版

电工电子工艺基础实验报告完整版 电工电子工艺基础实验报告专业年级: 学号: 姓名: 指导教师: 2013 年 10 月 7 日

目录 一.手工焊点焊接方法与工艺,贴片、通孔元器件焊接工艺。 二.简述磁控声光报警器的工作原理,画出电路组成框图,实物图片。 三.简述ZX—2005型稳压源/充电器的工作原理,画出电路组成框图,实物图片;附上实习报告。四.简述流水灯工作原理,画出电路组成框图,实物图。 五.简述ZX2031FM微型贴片收音机的工作原理,画出电路组成框图,实物图。 六.简述HTDZ1208型—复合管OTL音频功率放大器的工作原理,画出电路组成框图,实物图。七.总的实训体会,收获,意见。 一.手工焊点焊接方法与工艺,贴片、通孔元器件焊接工艺。 (1)电烙铁的拿法 反握法:动作稳定,不易疲劳,适于大功率焊接。 正握法:适于中等功率电烙铁的操作。

握笔法:一般多采用握笔法,适于轻巧型的电烙铁,其 烙铁头就是直的,头端锉成一个斜面或圆锥状,适于焊 接面积较小的焊盘。 (2)焊锡的拿法 (3)焊接操作五步法 左手拿焊条,右手拿焊铁,处于随时可焊状态。 加热焊件、送入焊条、移开焊条、移开电烙铁。(4)采用正确的加热方法 让焊件上需要锡侵润的各部分均匀受热 (5)撤离电烙铁的方法 撤离电烙铁应及时,撤离时应垂直向上撤离 (6)焊点的质量要求 有可靠的机械强度、有可靠的电气连接。 (7)合格焊点的外观 焊点形状近似圆锥体,椎体表面呈直线型、表面光泽 且平滑、焊点匀称,呈拉开裙状、无裂纹针孔夹 渣。 (8)常见焊点缺陷分析 二.简述磁控声光报警器的工作原理,画出

电子电路综合设计实验报告

电子电路综合设计实验报告 实验5自动增益控制电路的设计与实现 学号: 班序号:

一. 实验名称: 自动增益控制电路的设计与实现 二.实验摘要: 在处理输入的模拟信号时,经常会遇到通信信道或传感器衰减强度大幅变化的情况; 另外,在其他应用中,也经常有多个信号频谱结构和动态围大体相似,而最大波幅却相差甚多的现象。很多时候系统会遇到不可预知的信号,导致因为非重复性事件而丢失数据。此时,可以使用带AGC(自动增益控制)的自适应前置放大器,使增益能随信号强弱而自动调整,以保持输出相对稳定。 自动增益控制电路的功能是在输入信号幅度变化较大时,能使输出信号幅度稳定不变或限制在一个很小围变化的特殊功能电路,简称为AGC 电路。本实验采用短路双极晶体管直接进行小信号控制的方法,简单有效地实现AGC功能。 关键词:自动增益控制,直流耦合互补级,可变衰减,反馈电路。 三.设计任务要求 1. 基本要求: 1)设计实现一个AGC电路,设计指标以及给定条件为: 输入信号0.5?50mVrm§ 输出信号:0.5?1.5Vrms; 信号带宽:100?5KHz; 2)设计该电路的电源电路(不要际搭建),用PROTE软件绘制完整的电路原理图(SCH及印制电路板图(PCB 2. 提高要求: 1)设计一种采用其他方式的AGC电路; 2)采用麦克风作为输入,8 Q喇叭作为输出的完整音频系统。 3. 探究要求: 1)如何设计具有更宽输入电压围的AGC电路; 2)测试AGC电路中的总谐波失真(THD及如何有效的降低THD 四.设计思路和总体结构框图 AGC电路的实现有反馈控制、前馈控制和混合控制等三种,典型的反馈控制AGC由可变增益放大器(VGA以及检波整流控制组成(如图1),该实验电路中使用了一个短路双极晶体管直接进行小信号控制的方法,从而相对简单而有效实现预通道AGC的功能。如图2,可变分压器由一个固定电阻R和一个可变电阻构成,控制信号的交流振幅。可变电阻采用基极-集电极短路方式的双极性晶体管微分电阻实现为改变Q1电阻,可从一个由电压源V REG和大阻值电阻F2组成的直流源直接向短路晶体管注入电流。为防止Rb影响电路的交流电压传输特性。R2的阻值必须远大于R1。

实验五时序逻辑电路实验报告

实验五时序逻辑电路(计数器和寄存器)-实验报告一、实验目的 1掌握同步计数器设计方法与测试方法。 2 ?掌握常用中规模集成计数器的逻辑功能和使用方法。 二、实验设备 设备:THHD-2型数字电子计数实验箱、示波器、信号源 器件:74LS163、74LS00、74LS20 等。 三、实验原理和实验电路 1计数器 计数器不仅可用来计数,也可用于分频、定时和数字运算。在实际工程应用中,一般很少使用小规模的触发器组成计数器,而是直接选用中规模集成计数器。 2. (1)四位二进制(十六进制)计数器74LS161 (74LS163) 74LS161是同步置数、异步清零的4位二进制加法计数器,其功能表见表。 74LS163是同步置数、同步清零的4位二进制加法计数器。除清零为同步外,其他功能与74LS161相同。二者的外部引脚图也相同,如图所示。 3.集成计数器的应用一一实现任意M进制计数器

Eft CR IK rh th Ih ET 7-I1A C1M /( 制扭环计数 同步清零法器 同步置数法 般情况任意M 进制计数器的结构分为 3类,第一类是由触发器构成的简单计数器。 第 二类是 由集成二进制计数器构成计数器。第三类是由移位寄存器构成的移位寄存型计数器。 第一类,可利用时序逻辑电路的设计方法步骤进行设计。 第二类,当计数器的模 M 较小时用 一片集成计数器即可以实现,当 M 较大时,可通过多片计数器级联实现。两种实现方法:反 馈置数法和反馈清零法。第三类,是由移位寄存器构成的移位寄存型计数器。 4?实验电路: 十进制计数器 1

图74LS161 (74LS163)外部引脚图 四、实验内容及步骤 1 .集成计数器实验 (1)按电路原理图使用中规模集成计数器74LS163和与非门74LS00,连接成一个同步置数或同步清零十进制计数器,并将输出连接至数码管或发光二极管。然后使用单次脉冲作为触发输入,观察数码管或发光二极管的变化,记录得到电路计数过程和状态的转换规律。 (2)根据电路图,首先用D触发器74LS7474构成一个不能自启的六进制扭环形计数器,同样将输出连接至数码管或发光二极管。然后使用单次脉冲作为触发输入,观察数码管或发光二 极管的变化,记录得到电路计数过程和状态的转换规律。注意观察电路是否能自启,若不能自启,则将电路置位有效状态。接下来再用D触发器74LS7474构成一个能自启的六进制扭环 形计数器,重复上述操作。 2?分频实验 依据实验原理图用74LS163及74LS00组成一个具有方波输出的六分频电路。选择适当时钟输入方式及频率(CP接连续波脉冲),用双踪示波器观察并记录时钟与分频输出信号的时序波形。 五、实验结果及数据分析 1 .集成计数实验同步清零和同步置数的十进制加一计数器状态转换过程分别如下所示: 0000 : 0001 : 0010 : 0011 ; 0100 爲00*卄庇爲爲卄yh 六进制扭环形计数器的状态转换过程如下:

集成电路综合实验报告

集成电路设计综合实验 题目:集成电路设计综合实验 班级:微电子学1201 姓名: 学号:

集成电路设计综合实验报告 一、实验目的 1、培养从版图提取电路的能力 2、学习版图设计的方法和技巧 3、复习和巩固基本的数字单元电路设计 4、学习并掌握集成电路设计流程 二、实验内容 1. 反向提取给定电路模块(如下图1所示),要求画出电路原理图,分析出其所完成的逻辑功能,并进行仿真验证;再画出该电路的版图,完成DRC验证。 图1 1.1 查阅相关资料,反向提取给定电路模块,并且将其整理、合理布局。 1.2 建立自己的library和Schematic View(电路图如下图2所示)。 图2 1.3 进行仿真验证,并分析其所完成的逻辑功能(仿真波形如下图3所示)。

图3 由仿真波形分析其功能为D锁存器。 锁存器:对脉冲电平敏感,在时钟脉冲的电平作用下改变状态。锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,当锁存器处于使能状态时,输出才会随着数据输入发生变化。简单地说,它有两个输入,分别是一个有效信号EN,一个输入数据信号DATA_IN,它有一个输出Q,它的功能就是在EN有效的时候把DATA_IN的值传给Q,也就是锁存的过程。 只有在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号。其中使能端A 加入CP信号,C为数据信号。输出控制信号为0时,锁存器的数据通过三态门进行输出。所谓锁存器,就是输出端的状态不会随输入端的状态变化而变化,仅在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号到来时才改变。锁存,就是把信号暂存以维持某种电平状态。 1.4 生成Symbol测试电路如下(图4所示) 图4

大学《模拟电子线路实验》实验报告

大连理工大学网络高等教育《模拟电子线路》实验报告 学习中心:奥鹏教育中心 层次:高中起点专科 专业:电力系统自动化 年级: 学号: 学生姓名:杨

实验一常用电子仪器的使用 一、实验目的 答:1.了解并掌握模拟电子技术实验箱的主要功能及使用方法。 2.了解并掌握数字万用表的主要功能及使用方法。 3.学习并掌握TDS1002型数字存储示波器和信号源的基本操作方法。 二、基本知识 1.简述模拟电子技术实验箱布线区的结构及导电机制。 答:布线区面板以大焊孔为主,其周围以十字花小孔结构相结合,构成接点的连接形式,每个大焊孔与它周围的小孔都是相通的。 2.试述NEEL-03A型信号源的主要技术特性。 答:1.输出波形:三角波、正弦波、方波、二脉、四脉、八脉、单次脉冲信号; 2.输出频率:10HZ~1HZ连续可调; 3.幅值调节范围:0~10Vp-p连续可调; 4.波形衰减:20db、40db; 5.带有6位数字频率计,即可作为信号源的输出监视仪表,也可以作为外侧频率计使用。 3.试述使用万用表时应注意的问题。 答:使用万用表进行测量时,应先确定所需测量功能和量程。 确定量程的原则: 1.若已知被测参数大致范围,所选量程应“大于被测值,且最接近被测值”。 2.如果被测参数的范围未知,则选择所需功能的最大量程测量,根据粗侧结果逐步把量程下调到最接近于被测值的量程,以便测量出更加精准的数值。 如屏幕显示“1”,表明以超过量程范围,需将量程开关转至相应档位上。 3.在测量间歇期和实验结束后,不要忘记关闭电源。 三、预习题 1.正弦交流信号的峰-峰值=__2__×峰值,峰值=__√2__×有效值。 2.交流信号的周期和频率是什么关系? 答:周期和频率互为倒数。T=1/f f=1/T

华桥大学微电子器件与电路实验实验报告IC2019实验2

实验报告)微电子器件与电路实验(集成 学号实验时间姓名 2019.04 实验成绩实验操作教师签字 实验二集成二极管电学特性分析实验名称(1)计算机 (2)操作系统:Centos 实验设备TSMC RF0.18um工艺模型软件平台:Cadence Virtuoso (4)(3)1.掌握变量扫描分析、OP分析、DC Sweep下分析器件电学模型参数 2.掌握二极管电流和结面积和结周长关系,加深对集成二极管电学特性的理解实验目的特性的测试方法 3.掌握二极管CV 掌握单边突变结二极管掺杂浓度测量方法 4.实验 要求 1. 实验前按要求阅读器件说明文档,阅读实验操作文档,熟悉实验过程及操作步骤 2. 实验过程中按实验报告要求操作、仿真、记录数据(波形) 3. 实验结果经指导老师检查、验收,经允许后方可关机,离开实验室 ,、实验后按要求处理数据和波形,回答问题。实验报告打印后,于下次实验时间缴交。3实验内容: 【20%】 2.1 集成二极管电流随结面积变化特性(变量分析)实验对给定的二极管固定二极管的L,然后对二极管结W进行变量分析,测得二极管电流和结面积之间的关系曲线,通过曲线斜率估计二极管电流和结面积是否满足线性关系,回答思考题1 【20%】分析)2.2 实验集成二极管电流随结周长变化特性(OP使用不同结周长的二极管单元并联成结面积相同的二极管器件,测得相同偏置条件下的二极管电流,通过对比不同二极管电流之间的差异,确定二极管电流和结周长的关系,回答思考题2 【30%】 CV特性测试(DC分析下器件电学模型参数分析)集成二极管实验2.3 对给定结面积的二极管进行DC分析,分析二极管结电容和反偏电压之间的关系,测得CV特性曲线。并根据《微电子器件与电路》所学知识,回答思考题3、4、5。 【30%】实验2.4 集成二极管内建电势差及掺杂浓度测量2测试不同结电压下单边突变结二极管的单位结面积电容,根据单边突变结1/C关系曲线特点计算得到二极管的掺杂浓度和内建电势差。

数字钟电子电路实训实验报告

实习(实训)总结报告的写法及基本要求 一、实习(实训)报告一般由标题和正文两部分组成 1.标题:标题可以采取规范化的标题格式,基本格式为“关于×××的实习(实训)报告”,用三号黑体字。 2.正文:正文一般分前言、主体、结尾三部分。 (1)前言:主要描述本次实习(实训)的目的意义、大纲的要求及接受实习(实训)任务等情况。 (2)主体:实习(实训)报告最主要的部分,详述实习(实训)的基本情况,包括:项目、内容、安排、组织、做法,以及分析通过实习(实训)经历了哪些环节,接受了哪些实践锻炼,搜集到哪些资料,并从中得出一些具体认识、观点和基本结论。 (3)结尾:可写出自己的收获、感受、体会和建议,也可就发现的问题提出解决问题的方法、对策;或总结全文的主要观点,进一步深化主题;或提出问题,引发人们的进一步思考等。 二、对实习(实训)报告的要求 1.按照大纲要求在规定的时间完成实习(实训)报告,报告内容必须真实,不得抄袭。学生应结合自己所在工作岗位的工作实际写出本行业及本专业(或课程)有关的实习(实训)报告。 2.实习(实训)报告撰写过程中需接受指导教师的指导,学生应在实习(实训)结束之前将成稿交实习(实训)指导教师。 三、实习(实训)考核的主要内容 1.平时表现:实习(实训)出勤和实习(实训)纪律的遵守情况;实习(实训)现场的表现和实习(实训)笔记的记录情况、笔记的完整性。 2.实习(实训)报告:实习(实训)报告的完整性和准确性;实习(实训)的收获和体会。 3.答辩:在生产现场随机口试;实习(实训)结束时抽题口试。

桂林航天工业学院 学生实习(实训)总结报告 院系(部):专业班级: 学生姓名:学号: 实习(实训)地点: 课程名称:电子电路实验 报告日期:2018年7月6日 指导教师评语: 成绩(五级记分制): 指导教师(签字):

相关主题
文本预览
相关文档 最新文档