当前位置:文档之家› 数电基础知识点考核

数电基础知识点考核

数字电路基础知识的考核全是选择填空希望对大家有用本文档有子安整理

1.以下代码中为无权码的是(CD )

A. 8421BCD码B。5421BCD码 C. 余三码D。格雷码

2.以下代码中为恒权码的为(AB )

A.8421BCD码

B. 5421BCD码C。余三码D。格雷码

3.一位十六进制数可以用(C )位二进制数来表示。

A。1 B. 2C。4D。16

5.在一个8位的存储单元中,能够存储的最大无符号整数是(CD )

A.(256)10 B。(127)10 C。(FF)16 D。(255)10

7.矩形脉冲信号的参数有ABC

A。周期B。占空比 C.脉宽 D.扫描期

9. 常用的BCD码有(CD )

A。奇偶校验码B。格雷码C。8421码 D.余三码

10.与模拟电路相比,数字电路主要的优点有(BCD )

A.容易设计

B.通用性强

C.保密性好

D.抗干扰能力强

1。描述脉冲波形的主要参数有幅度、周期、频率、脉宽、上升时间、下降时间、占空比

2. 数字信号的特点是在(时间)上和(峰值)上都是断续变化的,其高电平和低电平常用( 0 )和( 1 )来表示。

3. 分析数字电路的主要工具是( 逻辑代数),数字电路又称作(逻辑代数)

4. 在数字电路中,常用的计数制除十进制外,还有(二进制)、(八进制)、(十六进制)

5。常用的BCD码有(421BCD码)、(2421BCD码)、(5421BCD码)、(余三码)、等。常用的可靠性代码有( 格雷码)、(奇偶校验码)等.

1.逻辑变量的取值1和0可以表示:( D ) 。

A。开关的闭合、断开 B.电位的高、低C。真与假D。电流的有、无

3. 当逻辑函数有n个变量时,共有(D )个变量取值组合?

A. n

B. 2n

C. N2

D. 2N

4. 逻辑函数的表示方法中具有唯一性的是(AD ).

A .真值表 B.表达式C。逻辑图D。卡诺图

7.求一个逻辑函数F的对偶式,可将F中的(ACD )

A 。“·”换成“+”,“+”换成“·” B.原变量换成反变量,反变量换成原变量C。变量不变 D.常数中“0”换成“1”,“1"换成“0”E。常数不变

9.在何种输入情况下,“与非”运算的结果是逻辑0.( D )

A.全部输入是0 B.任一输入是0 C.仅一输入是0 D。全部输入是1

10.在何种输入情况下,“或非”运算的结果是逻辑0。( BCD )

A.全部输入是0 B。全部输入是1 C。任一输入为0,其他输入为1 D。任一输入为1

1. 逻辑代数又称为( 布尔) 代数。最基本的逻辑关系有( 与)(或) ( 非 ) 三种.常用的几种导出的逻辑运为( 与非) ( 或非) ( 与或非)(同或) (异或)

2. 逻辑函数的常用表示方法有( 逻辑表达式 ) (真值表)(逻辑图)

3。逻辑代数中与普通代数相似的定律有(交换律) (分配律)(结合律)。摩根定律又称为( 反演定律)

4。逻辑代数的三个重要规则是(代入规则)(对偶规则)(反演规则 )

1. 三态门输出高阻状态时,(ABD)是正确的说法。

A。用电压表测量指针不动B。相当于悬空 C.电压不高不低D。测量电阻指针不动

2. 以下电路中可以实现“线与”功能的有( CD)

。A。与非门B。三态输出门 C.集电极开路门 D.漏极开路门

3.以下电路中常用于总线应用的有(A)

. A。TSL门 B.OC门 C. 漏极开路门 D.CMOS与非门

5.TTL电路在正逻辑系统中,以下各种输入中(ABC)

相当于输入逻辑“1”。A.悬空B。通过电阻2。7kΩ接电源C。通过电阻2。7kΩ接地D。通过电阻510Ω接地

6.对于TTL与非门闲置输入端的处理,可以(ABD)

。A。接电源B。通过电阻3kΩ接电源 C.接地D。与有用输入端并联

7.要使TTL与非门工作在转折区,可使输入端对地外接电阻RI (C)

。A.>RON B.<ROFF C.ROFF<RI<RON D。>ROFF

8.三极管作为开关使用时,要提高开关速度,可(ACD)

A.降低饱和深度

B.增加饱和深度C。采用有源泄放回路D。采用抗饱和三极管9.CMOS数字集成电路与TTL数字集成电路相比突出的优点是(ACD)

。A。微功耗B。高速度 C.高抗干扰能力 D.电源范围宽

10.与CT4000系列相对应的国际通用标准型号为(B)

。A。CT74S肖特基系列 B. CT74LS低功耗肖特基系列C.CT74L低功耗系列 D. CT74H 高速系列

1。集电极开路门的英文缩写为(OC)门,工作时必须外加(电源)和(负载)

2.OC门称为(集电极开路门)门,多个OC门输出端并联到一起可实现(线与

)功能.

3.TTL与非门电压传输特性曲线分为(饱和区)区、(转折区)区、(线性区)区、(截止区)区。

4.国产TTL电路(CT4000)相当于国际SN54/低功耗肖特基

1。N个触发器可以构成能寄存( B )位二进制数码的寄存器。

A。N—1 B。N C.N+1 D.2N

2.在下列触发器中,有约束条件的是( C )

A。主从JK F/F B。主从D F/F C.同步RS F/F D。边沿D F/F

3.一个触发器可记录一位二进制代码,它有( C )个稳态。

A。0 B.1 C。2 D.3 E.4

4.存储8位二进制信息要( D )个触发器。

A.2 B。3 C。4 D。8

8.对于JK触发器,若J=K,则可完成( C )触发器的逻辑功能。

A。RS B.D C.T D.Tˊ

14.下列触发器中,克服了空翻现象的有(ABD )

A。边沿D触发器B.主从RS触发器 C.同步RS触发器 D.主从JK触发器

15.下列触发器中,没有约束条件的是(D)

A.基本RS触发器

B.主从RS触发器

C.同步RS触发器D。边沿D触发器

16.描述触发器的逻辑功能的方法有(ABCD )

A.状态转换真值表B。特性方程C。状态转换图D。状态转换卡诺图

17.为实现将JK触发器转换为D触发器,应使(A)

A.J=D,K=D B。K=D,J=D C。J=K=D D。J=K=D

18。边沿式D触发器是一种( C )稳态电路。

A.无

B.单

C.双D。多

1.触发器有(2)个稳态,存储8位二进制信息要(8)个触发器。

2.一个基本RS触发器在正常工作时,它的约束条件是R+S=1,则它不允许输入S= (0)且R= (0)的信号。

3.触发器有两个互补的输出端Q、错误!,定义触发器的1状态为(Q=1错误! =0) ,0状态为(Q=0 错误!=1),可见触发器的状态指的是(Q)的状态。

4.一个基本RS触发器在正常工作时,不允许输入R=S=1的信号,因此它的约束条件是(RS=0 )。

5.在一个CP脉冲作用下,引起触发器两次或多次翻转的现象称为触发器的(空翻),触发方式为(主从式 ) 式或(边沿式) 式的触发器不会出现这种现象。

1.脉冲整形电路有(BC)。

A.多谐振荡器

B.单稳态触发器

C.施密特触发器

D.555定时器

2.多谐振荡器可产生(B)

A。正弦波 B.矩形脉冲C。三角波 D.锯齿波

3.石英晶体多谐振荡器的突出优点是( C )

A。速度高 B.电路简单C。振荡频率稳定D。输出波形边沿陡峭

4.TTL单定时器型号的最后几位数字为( A )

A。555 B。556 C.7555 D。7556

5.555定时器可以组成(ABC )

A.多谐振荡器

B.单稳态触发器C。施密特触发器D.JK触发器

7.以下各电路中, ( B )可以产生脉冲定时.

A。多谐振荡器 B.单稳态触发器C。施密特触发器D.石英晶体多谐振荡器

1.555定时器的最后数码为555的是(TTL )产品,为7555的是(CMOS)产品。

2.施密特触发器具有 (回差 )现象,又称(电压滞后 )特性;单稳触发器最重要的参数为(脉宽) .

3.常见的脉冲产生电路有(多谐振荡器) ,常见的脉冲整形电路有(单稳态触发器)(施密特触发器)。

4.为了实现高的频率稳定度,常采用(石英晶体)振荡器;单稳态触发器受到外触发时进入(暂稳态)态。

1.555定时器的最后数码为555的是(TTL )产品,为7555的是(CMOS)产品。

2.施密特触发器具有(回差)现象,又称(电压滞后)特性;单稳触发器最重要的参数为(脉宽) 。

3.常见的脉冲产生电路有(多谐振荡器 ) ,常见的脉冲整形电路有(单稳态触发器)(施密特触发器) 。

4.为了实现高的频率稳定度,常采用(石英晶体)振荡器;单稳态触发器受到外触发时进入(暂稳态)态。

2.若在编码器中有50个编码对象,则要求输出二进制代码位数为(B)位.

A.5

B.6 C。10 D.50

3.一个16选一的数据选择器,其地址输入(选择控制输入)端有(C)个。

A。1 B.2 C。4 D。16

7。一个8选一数据选择器的数据输入端有(E)个。

A.1 B。2 C。3 D。4 E.8

8.在下列逻辑电路中,不是组合逻辑电路的有(D)。

A.译码器

B.编码器

C.全加器

D.寄存器

9.八路数据分配器,其地址输入端有(C)个。

A.1

B.2 C。3 D。4 E.8

10.组合逻辑电路消除竞争冒险的方法有(AB)。

A. 修改逻辑设计B。在输出端接入滤波电容C.后级加缓冲电路D。屏蔽输入信号的尖峰干扰

11.101键盘的编码器输出(C)位二进制代码。

A.2 B。6 C.7 D.8

13.以下电路中,加以适当辅助门电路,(AB)适于实现单输出组合逻辑电路。

A.二进制译码器B。数据选择器C。数值比较器 D.七段显示译码器

14.消除竟争冒险的方法有(修改逻辑设计)(接入滤)

1.同步计数器和异步计数器比较,同步计数器的显著优点是(A)。

A。工作速度高 B。触发器利用率高 C.电路简单 D。不受时钟CP控制。

2.把一个五进制计数器与一个四进制计数器串联可得到(D)进制计数器。

A。4 B.5 C。9 D.20

3.下列逻辑电路中为时序逻辑电路的是(C)

A。变量译码器 B.加法器 C.数码寄存器 D.数据选择器

5。 N个触发器可以构成能寄存(B)位二进制数码的寄存器。

A.N-1

B.N

C.N+1 D。2N

6.五个D触发器构成环形计数器,其计数长度为(A)。

A.5

B.10

C.25 D。32

7.同步时序电路和异步时序电路比较,其差异在于后者(B)。

A.没有触发器

B.没有统一的时钟脉冲控制 C。没有稳定状态 D.输出只与内部状态有关

8.一位8421BCD码计数器至少需要(B)个触发器.

A。3 B。4 C.5 D。10

9。欲设计0,1,2,3,4,5,6,7这几个数的计数器,如果设计合理,采用同步二进制计数

器,最少应使用(B)级触器.

A.2 B。3 C。4 D。8

10.8位移位寄存器,串行输入时经(B)个脉冲后,8位数码全部移入寄存器中。

A。1 B。2 C.4 D.8

1.寄存器按照功能不同可分为两类:(移位)寄存器和(数码)寄存器。

2.数字电路按照是否有记忆功能通常可分为两类:( 组合逻辑电路)(时序逻辑电路)3.由四位移位寄存器构成的顺序脉冲发生器可产生(4)个顺序脉冲.

4.时序逻辑电路按照其触发器是否有统一的时钟控制分为(同步)时序电路和(异步)时序电路.

6.将一个时间上连续变化的模拟量转换为时间上断续(离散)的模拟量的过程称为(A)。

A。采样 B。量化 C。保持 D.编码

7.用二进制码表示指定离散电平的过程称为(D).

A.采样

B.量化

C.保持 D。编码

8.将幅值上、时间上离散的阶梯电平统一归并到最邻近的指定电平的过程称为(B)A。采样 B。量化 C.保持 D。编码

10.以下四种转换器,(A)是A/D转换器且转换速度最高。

A.并联比较型

B.逐次逼近型 C。双积分型 D.施密特触发器

将模拟信号转换为数字信号,需要经过(采样 ) (保持) (量化)(编码)

1.PROM和PAL的结构是(AD).

A.PROM的与阵列固定,不可编程 B。 PROM与阵列、或阵列均不可编程

C。PAL与阵列、或阵列均可编程 D。 PAL的与阵列可编程

2.当用专用输出结构的PAL设计时序逻辑电路时,必须还要具备有 (A)。

A。触发器 B.晶体管 C.MOS管 D。电容

3.当用异步I/O输出结构的PAL设计逻辑电路时,它们相当于(A) .

A。组合逻辑电路 B。时序逻辑电路 C.存储器 D.数模转换器

4.PLD器件的基本结构组成有 (ABCD)。

A。与阵列 B。或阵列 C。输入缓冲电路 D。输出电路

5.PLD器件的主要优点有(ABCD) .

A。便于仿真测试 B。集成密度高 C.可硬件加密 D.可改写

6.GAL的输出电路是 (AD)。

A.OLMC B。固定的 C.只可一次编程 D.可重复编程

7.PLD开发系统需要有(ABCD) .

A.计算机 B。编程器 C.开发软件 D。操作系统

8.只可进行一次编程的可编程器件有(AC) .

A。PAL B.GAL C.PROM D.PLD

9.可重复进行编程的可编程器件有 (BD) .

A.PAL

B.GAL C。PROM D。ISP—PLD

10.ISP—PLD器件开发系统的组成有(ACD)。

A。计算机 B。编程器 C。开发软件 D.编程电缆

11.全场可编程(与、或阵列皆可编程)的可编程逻辑器件有(D)。A。PAL B.GAL C.PROM D。PLA

1.PROM和PAL的结构是(AD).

A.PROM的与阵列固定,不可编程 B。 PROM与阵列、或阵列均不可编程

C。PAL与阵列、或阵列均可编程 D. PAL的与阵列可编程

2.当用专用输出结构的PAL设计时序逻辑电路时,必须还要具备有(A)。A。触发器 B.晶体管 C.MOS管 D。电容

3.当用异步I/O输出结构的PAL设计逻辑电路时,它们相当于(A)。A。组合逻辑电路 B。时序逻辑电路 C。存储器 D.数模转换器

4.PLD器件的基本结构组成有(ABCD)。

A. 与阵列 B。或阵列 C。输入缓冲电路 D.输出电路

5.PLD器件的主要优点有(ABCD)。

A. 便于仿真测试 B。集成密度高 C.可硬件加密 D.可改写

6.GAL的输出电路是(AD)。

A。OLMC B.固定的 C.只可一次编程 D。可重复编程

7.PLD开发系统需要有(ABCD) 。

A。计算机 B。编程器 C。开发软件 D。操作系统

8.只可进行一次编程的可编程器件有(AC)。

A.PAL B。GAL C。PROM D.PLD

9.可重复进行编程的可编程器件有(BD) 。

A。PAL B。GAL C。PROM D。ISP—PLD

10.ISP-PLD器件开发系统的组成有(ACD) 。

A。计算机 B.编程器 C.开发软件 D.编程电缆

11.全场可编程(与、或阵列皆可编程)的可编程逻辑器件有(D) .

A.PAL B。GAL C.PROM D。PLA

1.一个容量为1K×8的存储器有(BD)个存储单元。

A。8 B.8K C。8000 D.8192

2.要构成容量为4K×8的RAM,需要(D)片容量为256×4的RAM。

A.2 B。4 C.8 D。32

3.寻址容量为16K×8的RAM需要(C)根地址线。

A.4

B.8

C.14 D。16 E。16K

4.若RAM的地址码有8位,行、列地址译码器的输入端都为4个,则它们的输出线(即字线加位线)共有有(C)条。

A.8 B。16 C.32 D。256

5.某存储器具有8根地址线和8根双向数据线,则该存储器的容量为(C) 。

A。8×3 B。8K×8 C.256×8 D。 256×256

6。采用对称双地址结构寻址的1024×1的存储矩阵有(C)

A。10行10列 B。5行5列 C。32行32列 D。1024行1024列

7.随机存取存储器具有(A)功能.

A.读/写

B.无读/写 C。只读 D。只写

8.欲将容量为128×1的RAM扩展为1024×8,则需要控制各片选端的辅助译码器的输出端数为(D)。

A.1 B。2 C。3 D。8

9.欲将容量为256×1的RAM扩展为1024×8,则需要控制各片选端的辅助译码器的输入端数为(B)。

A。4 B.2 C。3 D.8

10.只读存储器ROM在运行时具有(A)功能。

A。读/无写 B.无读/写 C.读/写 D.无读/无写

11.只读存储器ROM中的内容,当电源断掉后又接通,存储器中的内(D)。

A.全部改变 B。全部为0 C。不可预料 D.保持不变

12.随机存取存储器RAM中的内容,当电源断掉后又接通,存储器中的内容(C)。

A.全部改变

B.全部为1

C.不确定

D.保持不变

13.一个容量为512×1的静态RAM具有(A) .

A.地址线9根,数据线1根

B.地址线1根,数据线9根

C.地址线512根,数据线9根

D.地址线9根,数据线512根

14.用若干RAM实现位扩展时,其方法是将(CD)相应地并联在一起。

A。地址线 B.数据线 C。片选信号线 D.读/写线

15.PROM的与陈列(地址译码器)是(B)。

A.全译码可编程阵列

B. 全译码不可编程阵列 C。非全译码可编程阵列 D.非全译码不可编程阵列

存储器的(存储容量)和(存取时间)是反映系统性能的两个重要标准

数字电子技术基础知识点总结

数字电子技术基础知识点总结 篇一:《数字电子技术》复习知识点 《数字电子技术》重要知识点汇总 一、主要知识点总结和要求 1.数制、编码其及转换:要求:能熟练在10进制、2进制、8进制、16进制、8421Bcd、格雷码之间进行相互转换。 举例1:(37.25)10=()2=()16=()8421Bcd 解:(37.25)10=(100101.01)2=(25.4)16=(00110111.00100101)8421Bcd 2.逻辑门电路: (1)基本概念 1)数字电路中晶体管作为开关使用时,是指它的工作状态处于饱和状态和截止状态。 2)TTL门电路典型高电平为3.6V,典型低电平为0.3V。 3)oc门和od门具有线与功能。 4)三态门电路的特点、逻辑功能和应用。高阻态、高电平、低电平。5)门电路参数:噪声容限VnH或VnL、扇出系数no、平均传输时间tpd。 要求:掌握八种逻辑门电路的逻辑功能;掌握oc门和od门,三态门电路的逻辑功能;能根据输入信号画出各种逻辑门电路的输出波形。举例2:画出下列电路的输出波形。

解:由逻辑图写出表达式为:Y?a?Bc?a?B?c,则输出Y见上。3.基本逻辑运算的特点: 与运算:见零为零,全1为1;或运算:见1为1,全零为零; 与非运算:见零为1,全1为零;或非运算:见1为零,全零为1;异或运算:相异为1,相同为零;同或运算:相同为1,相异为零;非运算:零变1,1变零; 要求:熟练应用上述逻辑运算。 4.数字电路逻辑功能的几种表示方法及相互转换。 ①真值表(组合逻辑电路)或状态转换真值表(时序逻辑电路):是由变量的所有可能取值组合及其对应的函数值所构成的表格。 ②逻辑表达式:是由逻辑变量和与、或、非3种运算符连接起来所构成的式子。③卡诺图:是由表示变量的所有可能取值组合的小方格所构成的图形。 ④逻辑图:是由表示逻辑运算的逻辑符号所构成的图形。 ⑤波形图或时序图:是由输入变量的所有可能取值组合的高、低电平及其对应的输出函数值的高、低电平所构成的图形。 ⑥状态图(只有时序电路才有):描述时序逻辑电路的状态转换关系及转换条件的图形称为状态图。 要求:掌握这五种(对组合逻辑电路)或六种(对时序逻辑电路)方法之间的相互转换。 5.逻辑代数运算的基本规则 ①反演规则:对于任何一个逻辑表达式Y,如果将表达式中的所有“·”

数电基本知识点总结

数电基本知识点总结 数电(数字电子技术)是研究数字信号的产生、处理、传输和存储的科学与技术。在现代社会中,数字电子技术已经深入各个领域,发挥着重要作用。本文将从几个基本知识点入手,总结数电的一些基本概念和原理。 一、二进制 二进制是数电中最基础的概念之一。在二进制系统中,只存在两个数字0和1,这两个数字代表了电路中的两个状态。二进制系统的优势在于可以方便地进行数值表示和逻辑运算。在二进制中,每个位上的数值表示的是2的幂次。例如,二进制数1101表示的是1*2^3 + 1*2^2 + 0*2^1 + 1*2^0 = 13。 二、逻辑门 逻辑门是数电中常见的基本电路,用于实现特定的逻辑功能。最常见的逻辑门包括与门、或门和非门。与门的输出只有当所有输入都是高(1)时才为高,否则为低(0)。或门的输出只有当任一输入为高时才为高,否则为低。非门则是将输入取反,即输入为高时输出低,输入低时输出高。逻辑门可以通过组合和级联的方式构成复杂的逻辑电路,实现各种复杂的逻辑功能。 三、触发器 触发器是用于存储数据的元件,也是数字电子中的重要组成部分。最常见的触发器是D触发器和JK触发器。D触发器具有存储功能,利

用时钟信号确定存储的时间,而JK触发器则具有存储与反转的功能。 触发器可以用于存储状态、实现时序控制和生成频率分频信号等。 四、进位加法器 进位加法器是用于进行二进制数加法的电路。最简单的进位加法器 是半加器,可以实现两个一位二进制数的加法。而全加器则可以实现 三个一位二进制数的加法,并考虑了进位的情况。进一步地,多个全 加器可以级联构成更高位数的加法器,实现多位二进制数的加法运算。 五、时序控制 时序控制是数字电子中的重要内容之一,它涉及到电路的时序运算 以及各个部件之间的时序关系。时序控制可以实现各种复杂的功能, 例如计时器、状态机等。常用的时序控制电路有时钟发生器、时钟分 频电路、计数器等。 总结起来,数电是研究数字信号的产生、处理、传输和存储的科学 与技术。在这个领域中,二进制、逻辑门、触发器、进位加法器和时 序控制是基本的知识点。掌握了这些知识点,就能够理解和分析数字 电子系统的工作原理,并进行相应的设计和应用。在数字时代,数电 的重要性不可忽视,它对现代科技的发展起到了至关重要的支撑作用。

《数电》1-5章自学考核知识点

《数字电子技术》1-5章自学考核范围 第一章应该掌握的知识点 1、数字信号指的是一种什么样的信号; 2、数字信号与模拟信号的区别是什么; 3、数制中的“基数”和“位权”分别指是什么; 4、十进制与二进制、八进制、十六进制数之间的相互转换方法; 5、数字编码的概念,不同码制的编码规律,如:BCD编码、ASCII码等; 6、基本门电路(与门、或门、非门)的逻辑功能,认识它们的逻辑符号、逻辑 函数表达式、真值表的描述方式; 7、认识常用门电路的集成芯片:7400、7404、7408、7420、7421、7432,记住 这些芯片内部是什么门电路,一个芯片中有几个相同的门电路;这些芯片的引脚与内部的门电路输入、输出端是如何对应的等等; 8、掌握逻辑函数的化简方法,重点是摩根定律的应用; 第二章应该掌握的知识点 1、对于组合逻辑电路,要理解“组合”的涵义:根据一定的逻辑功能要求,将多个(或多种)门电路组合在一起,搭建出一个新的数字电路; 2、任意给你一个组合逻辑电路,应该会列出电路输出端与输入端之间的逻辑函数表达式,也就是要掌握组合逻辑电路的分析方法; 3、掌握简单组合逻辑电路的设计方法,根据一个具体要求,能够用逻辑函数进行描述,并能用门电路来搭建逻辑函数所要实现的功能,常见设计电路的有:半加器、三变量不一致、三人投票表决、三变量判奇等等; 4、理解数字电路中“编码”、“译码”、“数据选择”和“数据比较”的概念, 5、知道CD4532、74147、74138、CD4511、7448、74247、7447、74151、7485等这些主要芯片的逻辑功能,要知道这些芯片分别是什么功能的器件,它们的输入端、输出端之间满足怎样的逻辑关系和一些特殊引脚(比如使能端)的功能,基本上要做到一说到这些芯片的型号就能马上说出它们是:“×××器”; 6、掌握数码管的结构及显示数字的原理,知道共阴、共阳的概念,记住共阴数码管和共阳数码管的“0-9”这十个数字的编码值,假如记住有困难的话,则必须知道是如何编码的; 7、知道共阴数码管可以用什么译码器来驱动,共阳数码管又是用什么译码器来驱动的; 8、能够应用译码器(比如74138)、数据选择器(比如47151)来设计一些逻辑电路。 第三章应该掌握的知识点 1、理解数字信号“锁存”的概念,知道一位数字信号(0或1)是怎样存储起来的; 2、由或非门(或与非门)构成的基本RS锁存器电路要能够分析电路的工作原理,知道“R”端、“S”端的对输出端Q的作用,知道“置位”、“复位”的含义; 3、知道在基本RS锁存器上再加上几个门电路(比如与门或非门)之后能构成门控的锁存器的原理,掌握D锁存器的应用;

数电的基本知识点

数电的基本知识点 数电(数值电子学),全称“数字电子技术”,是电子技术的一个分支,涉及数字信号的产生、传输、处理和存储。它是现代电子技术的基础,应用广泛,不仅在计算机领域有着重要地位,还在通信、控制、仪器仪表等领域发挥着重要作用。本文将从数电的基本知识点入手,介绍数电的相关概念和原理。 我们需要了解数电的一个基本单位——比特(bit)。比特是计量信息量的最小单位,它只能表示0或1两个状态。比特的组合形成了更高级别的单位,例如字节(byte),1字节等于8比特。字节是计算机存储和传输数据的基本单位,我们常见的文件大小以字节为单位。 接下来,我们来介绍数电的另一个重要概念——逻辑门。逻辑门是由晶体管等电子元件组成的电路,用于实现逻辑运算。常见的逻辑门有与门(AND)、或门(OR)、非门(NOT)、异或门(XOR)等。与门输出高电平的条件是所有输入都为高电平,或门输出高电平的条件是至少有一个输入为高电平,非门则是对输入信号取反。异或门输出高电平的条件是输入信号中有奇数个高电平。 逻辑门的组合可以实现复杂的逻辑功能。例如,我们可以通过将多个与门和非门组合成与非门(NAND)来实现所有的逻辑运算。类似地,我们可以通过将多个或门和非门组合成或非门(NOR)来实现所有的逻辑运算。这种通过逻辑门的组合实现复杂逻辑功能的方法被

称为逻辑门电路。 除了逻辑门,数电中还有一个重要的概念是触发器。触发器是一种存储器件,用于存储一个比特的状态。常见的触发器有RS触发器、D触发器、JK触发器等。触发器可以用于存储二进制数据,在计算机的寄存器、内存等部件中得到广泛应用。 在数电中,我们还需要了解时序逻辑和组合逻辑。组合逻辑是指逻辑门的输出仅取决于当前的输入,与之前的输入无关。而时序逻辑是指逻辑门的输出不仅取决于当前的输入,还取决于之前的输入状态。例如,触发器就是一种典型的时序逻辑元件,它的输出不仅与当前输入有关,还与之前的输入有关。 我们来介绍数电中的时钟信号。时钟信号是一个周期性的信号,用于同步各个时序逻辑元件的工作。时钟信号的频率决定了数电电路的工作速度,常用单位是赫兹(Hz)。在计算机中,时钟信号的频率越高,计算机的运算速度越快。 数电的基本知识点包括比特、逻辑门、触发器、时序逻辑、组合逻辑和时钟信号等。通过了解这些知识点,我们可以更好地理解数电的基本原理和应用。数电作为现代电子技术的基础,对于我们深入理解计算机和其他电子设备的工作原理具有重要意义。

数电基本知识点总结

数电基本知识点总结 数字电子学是现代电子技术的基础,涵盖了诸多重要的知识点。本文将对数电基本知识进行总结,包括布尔代数、逻辑门、编码与译码、计数器和触发器等方面的内容。 一、布尔代数 布尔代数是数电的基石,用于描述逻辑关系。它包括与运算、或运算和非运算三种基本逻辑运算,分别用符号∧(AND)、∨(OR)和¬(NOT)表示。通过这些运算,我们可以构建各种逻辑表达式和逻辑函数。其中,布尔恒等式是布尔代数中的重要定律之一,用于简化逻辑表达式,减少电路中的门数量,提高电路的性能。 二、逻辑门 逻辑门是数字电子电路的基本组成单元,实现了不同的逻辑运算。常见的逻辑门有与门、或门、非门、与非门、或非门、异或门和同或门等。逻辑门通过输入信号的不同组合,产生特定的输出信号。通过设计和组合不同的逻辑门,可以实现各种复杂的数字电路。 三、编码与译码 编码与译码是数字电子中常见的数据处理方式。编码器将多个输入信号转换成少量的输出信号,常用的编码器有BCD编码器和优先编码器。译码器则是编码器的逆过程,将少量的输入信号转换成多个输出信号。常见的译码器有二-四译码器和三-八译码器等。编码与译码在信息处理和传输中起到了重要作用。

四、计数器 计数器是用于计数的电路。常见的计数器分为同步计数器和异步计数器两种。同步计数器在所有输入时钟脉冲到达时进行计数,而异步计数器则是在每个时钟脉冲到达时进行计数。计数器可以用于各种场景,如时钟频率分频、事件计数等。同时,通过组合不同类型的计数器,也可以实现更复杂的计数功能。 五、触发器 触发器是一种用于存储和延迟信号的电路。常见的触发器有RS 触发器、D触发器、JK触发器和T触发器等。触发器通过输入控制信号,使得输出信号在特定条件下发生变化。它们可以用于存储和传递数据,在数字电路设计中扮演着重要的角色。同时,触发器的状态转换和时序行为也是数字电路中的研究重点。 在数字电子学的学习过程中,不仅需要掌握以上基本知识点,还需要学习和了解更多的相关内容,如多路复用器、解复用器、时序逻辑、组合逻辑、存储器等。通过深入学习这些知识点,我们可以更好地理解数字电子技术的原理和应用,为电子设计提供有力的支持。 在实际应用中,数字电子技术广泛应用于计算机、通信设备、嵌入式系统等领域。对于电子工程师和相关从业人员来说,熟练掌握数电基本知识点是非常重要的。只有建立扎实的数电基础,才能在设计和开发中游刃有余,解决实际问题。 总之,数电基本知识点是我们在学习和应用数字电子技术时必须掌握的内容。通过学习布尔代数、逻辑门、编码与译码、计数器和触发器等知识,我们可以理解和设计数字电路,为实际应用场景提供解决方案。随着技术的不断发展,数字电子学将继续为我们带来更多的创新和突破。

(完整版)数电知识点汇总

数电知识点汇总 第一章: 1,二进制数、十六进制与十进制数的互化,十进制化为8421BCD代码 2,原码,补码,反码及化为十进制数 3,原码=补码反码+1 重点课后作业题:题1.7,1.10 第二章: 1,与,或,非,与非,或非,异或,同或,与或非的符号(2种不同符号,课本P22,P23上侧)及其表达式。 A☉A☉A……A=?(当A的个数为奇数时,结果为A,当A的个数为偶数时,结果为1) A⊕A⊕A……A=?(当A的个数为奇数时,结果为A,当A的个数为偶数时,结 果为0) 2,课本P25,P26几个常用公式(化简用) 3,定理(代入定理,反演定理,对偶定理),学会求一表达式的对偶式及其反函数。 4,※※卡诺图化简:最小项写1,最大项写0,无关项写×。画圈注意事项:圈内的“1”必须是2n个;“1”可以重复圈,但每圈一次必须包含没圈过的“1”;每个圈包含“1”的个数尽可能多,但必须相邻,必须为2n个;圈数尽可能的少;要圈完卡诺图上所有的“1”。 5,一个逻辑函数全部最小项之和恒等于1 6,已知某最小项,求与其相邻的最小项的个数。 7,使用与非门时多余的输入端应该接高电平,或非门多余的输入端应接低电平。8,三变量逻辑函数的最小项共有8个,任意两个最小项之积为0. 9,易混淆知识辨析: 1)如果对72个符号进行二进制编码,则至少需要7位二进制代码。 2)要构成13进制计数器,至少需要4个触发器。 3)存储8位二进制信息需要8个触发器。 4)N进制计数器有N个有效状态。 5)一个具有6位地址端的数据选择器的功能是2^6选1. 重点课后作业题:P61 题2.10~2.13题中的(1)小题,P62-P63题2.15(7),题2.16(b),题2.18(3)、(5)、(7),P64题2.22(3)、2.23(3)、2.25(3)。 第三章: 1,二极管与门,或门的符号(课本P71,P72) 2,认识N沟道增强型MOS管,P沟道增强型MOS管,N沟道耗尽型,P沟道耗尽型的符号,学会由符号判断其类型和由类型推其符号。(课本P79) 3,CMOS反相器的符号(课本P80)

数电基本知识点总结

数电基本知识点总结 随着现代电子技术的快速发展和广泛应用,数字电子技术已经 成为新时代中不可或缺的重要组成部分。数字电子技术作为电子 技术的一个分支,已经成为电子科学研究的主要方向之一,在现 代应用中也扮演着重要的角色。数字电子技术的基本知识点包括 数字电路、数字信号处理等。本文将对这些基本知识点进行总结。 一、数字电路 数字电路是计算机硬件、通信系统以及灯胆等各种电子器件的 基本组成部分,是数字电子技术的基础。数字电路包括组合逻辑 电路和时序逻辑电路两种。组合逻辑电路根据输入信号产生输出 信号,其中不需要考虑时序。时序逻辑电路则是由组合逻辑模块 和时钟模块组成的,处理输入信号时需要考虑时序。数字电路有 以下基本知识点: 1.逻辑运算 数字电路中的逻辑运算包括与、或、非、异或等逻辑运算。其 中与运算是指各输入信号同时为1时,输出为1;或运算是指各输

入信号中有一个或多个为1时,输出为1;非运算是指输入信号为1时,输出为0,反之亦然;异或运算是指各输入信号不相同时输出为1,否则输出为0。 2.编码器 编码器是将不同的输入信号映射为不同的输出信号的电路。常用的编码器有BCD编码器、八位编码器和十六位编码器等。 3.译码器 译码器是将不同的输入信号转换为不同的输出信号,按照特定的规则进行转换。译码器是数字电路的重要组成部分。常用的译码器有BCD译码器、八位译码器和十六位译码器等。 4.计数器 计数器是可以计数的电路,也是数字电路中经常使用的模块之一。计数器可以按照一定的规则计数,并可以将计数结果反馈给其他电路模块使用。计数器包括同步计数器和异步计数器等。

5.时序电路 时序电路是根据特定的时序要求来设计的数字电路。时序电路有微处理器、时钟电路等。 二、数字信号处理 数字信号处理是应用数字电子技术的一个重要方向,将模拟信号转换为数字信号,并对其进行数字处理和分析。数字信号处理有以下几个基本知识点: 1.采样定理 采样定理是数字信号处理中最基本的知识点之一。其核心思想是:一个信号能够以完全的方式重构,只需要一定的采样频率。通俗地讲,就是要想准确地数字化一个信号,需要以一定的频率对信号进行采样。 2.傅里叶变换

数电基本知识点总结

数电基本知识点总结 数字电子学(Digital Electronics)是一门研究数字信号在电子器件与系统中的处理与应用的学科。它是现代电子技术的基础,广泛应用于计算机、通信、自动控制等领域。本文将对数电基本知识点进行总结,从数字信号表示、布尔代数、逻辑门电路到组合逻辑电路和时序逻辑电路等方面进行探讨。 1. 数字信号的表示 数字信号是通过两个离散的电平(通常是0和1)来表示信息的。它可以用不同的方式表示,常见的有二进制(binary)和十六进制(hexadecimal)。 2. 布尔代数 布尔代数是一种用于描述逻辑关系的数学系统,由英国数学家乔治·布尔提出。布尔代数的基本运算有与(AND)、或(OR)、非(NOT)三种,分别对应于逻辑门电路中的与门、或门和非门。 3. 逻辑门电路 逻辑门电路是由逻辑门组成的电路,它能够对输入的数字信号进行逻辑运算并产生输出信号。常见的逻辑门有与门(AND)、或门(OR)、非门(NOT)、异或门(XOR)等。 4. 组合逻辑电路 组合逻辑电路是一种由逻辑门组成的电路,它的输出只取决于当

前的输入信号,与过去的状态无关。常见的组合逻辑电路有解码器、编码器、多路选择器、加法器等。 5. 时序逻辑电路 时序逻辑电路是一种由逻辑门和触发器(flip-flop)等元件组成的电路,它的输出不仅取决于当前的输入信号,还取决于过去的状态。时序逻辑电路可以用于设计时钟信号、计数器、寄存器等。 6. 数字信号处理 数字信号处理(Digital Signal Processing,DSP)是指对数字信号进行获取、处理和分析的技术。它广泛应用于音频处理、图像处理、通信系统等领域。常见的数字信号处理算法有快速傅里叶变换(FFT)、滤波器设计、数字滤波器实现等。 7. 存储器 存储器是一种用于存储和读取数字信号的设备。常见的存储器包括随机存储器(RAM)、只读存储器(ROM)、闪存等。存储器在计算机系统中起到重要的作用,用于存储程序、数据和中间结果。 8. 数字系统设计 数字系统设计是指将数字电路组件按照设计规范进行组合和连接,实现特定的功能要求。常见的数字系统设计工具有逻辑图、状态图、可编程逻辑器件(PLD)等。数字系统设计需要考虑电路的可靠性、功耗、面积等因素。 9. FPGA技术

数字电路基础知识考核试题及答案

数字电路基础考核试题 一、选择题 1. 二进制数10101转换为十进制数后为()[单选题] * 2. 逻辑函数式D+D,简化后结果是()[单选题] * 3. 一位十六进制数可以用二进制数来表示,需要二进制的位数是()[单选题] * 4. 当决定某个事件的全部条件都具备时,这件事才会发生。这种关系称为()[单选题]

5. 010*********的8421码为()[单选题] * 6. 4位二进制数可用十六进制数来表示,需要十六进制的位数是()[单选题] * 7. 8421BCD码用4位二进制数表示十进制数的位数是()[单选题] * 8. 在数字电路中,不属于基本逻辑门是()[单选题] *

9. 如图所示门电路,电路实现的逻辑式Y= [单选题] * 10. 如图所示的波形图表示的逻辑关系是() [单选题] * 11. 异或门F=A⊕B两输入端A、B中,A=1,则输出端F为()[单选题] *

12. 下列表所示的真值表完成的逻辑函数式为() [单选题] * 13. 当A=B=0时,能实现F=1的逻辑运算是()[单选题] * 14. 八位二进制数能表示十进制数的最大值是()[单选题] *

15. 将(01101)2转换为十进制数为()[单选题] * 16. 逻辑函数式Y=A+A,化简后的结果是()[单选题] * 17. 逻辑函数式Y=EF+E\+F\的逻辑值为()[单选题] * 18. 以下表达式中符合逻辑运算法则的是()[单选题] * 19. 当逻辑函数有n个变量时,取值组合有()[单选题] *

20. 二进制数码为(11101),则对应的十进制数为()[单选题] * 21. 下列说法中与BCD码的性质不符的是()[单选题] * 22. 数字信号和模拟信号的不同之处是()[单选题] * 23. “与非”运算的结果是逻辑“0”的输入是()[单选题] *

数电基本知识点总结面试

数电基本知识点总结面试 1、同步电路和异步电路的区别是什么? 2、什么是同步逻辑和异步逻辑? 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 電路設計可分類為同步電路和非同步電路設計。同步電路利用時鐘脈衝使其子系統同步運作,而非同步電路不使用時鐘脈衝做同步,其子系統是使用特殊的“開始”和“完成”信號使之同步。由於非同步電路具有下列優點--無時鐘歪斜問題、低電源消耗、平均效能而非最差效能、模組性、可組合和可複用性--因此近年來對非同步電路研究增加快速,論文發表數以倍增,而Intel Pentium 4處理器設計,也開始採用非同步電路設計。 异步电路主要是组合逻辑电路,用于产生地址译码器、FIFO或RAM的读写控制信号脉冲,其逻辑输出与任何时钟信号都没有关系,译码输出产生的毛刺通常是可以监控的。同步电路是由时序电路(寄存器和各种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的。这些时序电路共享同一个时钟CLK,而所有的状态变化都是在时钟的上升沿(或下降沿)完成的。 3、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求? 线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现(漏极或者集电极开路),由于不用oc门可能使灌电流过大,而烧坏逻辑门,同时在输出端口应加一个上拉电阻。(线或则是下拉电阻) 4、什么是Setup 和Holdup时间? 5、setup和holdup时间,区别. 6、解释setup time和hold time的定义和在时钟信号延迟时的变化。 7、解释setup和hold time violation,画图说明,并说明解决办法。 Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间-Setuptime.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数据才能被打入触发器。保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间。如果hold time不够,数据同样不能被打入触发器。建立时间(Setup Time)和保持时间(Hold time)。建立时间是指在时钟边沿前,数据信号需要保持不变的时间。保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。如果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现stability的情况。如果数据信号在时钟沿触发前后持续的时间均超过建立和保持时间,那么超过量就分别被称为建立时间裕量和保持时间裕量。 8、说说对数字逻辑中的竞争和冒险的理解,并举例说明竞争和冒险怎样消除。

(完整版)数电知识点总结(整理版)

数电复习知识点 第一章 1、了解任意进制数的一般表达式、2-8-10-16进制数之间的相互转换; 2、了解码制相关的基本概念和常用二进制编码(8421BCD、格雷码等); 第三章 1、掌握与、或、非逻辑运算和常用组合逻辑运算(与非、或非、与或非、异或、同或)及其逻辑符号; 2、掌握逻辑问题的描述、逻辑函数及其表达方式、真值表的建立; 3、掌握逻辑代数的基本定律、基本公式、基本规则(对偶、反演等); 4、掌握逻辑函数的常用化简法(代数法和卡诺图法); 5、掌握最小项的定义以及逻辑函数的最小项表达式;掌握无关项的表示方法和化简原则; 6、掌握逻辑表达式的转换方法(与或式、与非-与非式、与或非式的转换); 第四章 1、了解包括MOS在内的半导体元件的开关特性; 2、掌握TTL门电路和MOS门电路的逻辑关系的简单分析; 3、了解拉电流负载、灌电流负载的概念、噪声容限的概念; 4、掌握OD门、OC门及其逻辑符号、使用方法; 5、掌握三态门及其逻辑符号、使用方法; 6、掌握CMOS传输门及其逻辑符号、使用方法; 7、了解正逻辑与负逻辑的定义及其对应关系; 8、掌握TTL与CMOS门电路的输入特性(输入端接高阻、接低阻、悬空等); 第五章 1、掌握组合逻辑电路的分析与设计方法; 2、掌握产生竞争与冒险的原因、检查方法及常用消除方法; 3、掌握常用的组合逻辑集成器件(编码器、译码器、数据选择器); 4、掌握用集成译码器实现逻辑函数的方法; 5、掌握用2n选一数据选择器实现n或者n+1个变量的逻辑函数的方法; 第六章 1、掌握各种触发器(RS、D、JK、T、T’)的功能、特性方程及其常用表达方式(状态转换表、状态转换图、波形图等); 2、了解各种RS触发器的约束条件; 3、掌握异步清零端Rd和异步置位端Sd的用法; 2、了解不同功能触发器之间的相互转换; 第七章 1、了解时序逻辑电路的特点和分类; 2、掌握时序逻辑电路的描述方法(状态转移表、状态转移图、波形图、驱动方程、状态方程、输出方程); 3、掌握同步时序逻辑电路的分析与设计方法,掌握原始状态转移图的化简;

数字电路知识点总结(精华版)

数字电路知识点总结(精华版) 数字电路知识点总结(精华版) 第一章数字逻辑概论 一、进位计数制 1.十进制与二进制数的转换 2.二进制数与十进制数的转换 3.二进制数与十六进制数的转换 二、基本逻辑门电路 第二章逻辑代数 逻辑函数的表示方法有:真值表、函数表达式、卡诺图、逻辑图和波形图等。 一、逻辑代数的基本公式和常用公式

1.常量与变量的关系 A + 0 = A,A × 1 = A A + 1 = 1,A × 0 = 0 2.与普通代数相运算规律 a。交换律:A + B = B + A,A × B = B × A b。结合律:(A + B) + C = A + (B + C),(A × B) × C = A ×(B × C) c。分配律:A × (B + C) = A × B + A × C,A + B × C = (A + B) × (A + C) 3.逻辑函数的特殊规律 a。同一律:A + A = A b。摩根定律:A + B = A × B,A × B = A + B c。关于否定的性质:A = A' 二、逻辑函数的基本规则 代入规则 在任何一个逻辑等式中,如果将等式两边同时出现某一变量 A 的地方,都用一个函数 L 表示,则等式仍然成立,这个

规则称为代入规则。例如:A × B ⊕ C + A × B ⊕ C,可令 L = B ⊕ C,则上式变成 A × L + A × L = A ⊕ L = A ⊕ B ⊕ C。 三、逻辑函数的化简——公式化简法 公式化简法就是利用逻辑函数的基本公式和常用公式化简逻辑函数,通常,我们将逻辑函数化简为最简的与或表达式。 1.合并项法 利用 A + A' = 1 或 A × A' = 0,将二项合并为一项,合并 时可消去一个变量。例如:L = ABC + ABC = AB(C + C) = AB。 2.吸收法 利用公式 A + A × B = A,消去多余的积项,根据代入规 则 A × B 可以是任何一个复杂的逻辑式。例如化简函数 L = AB + AD + BE,先用摩根定理展开:AB = A + B,再用吸收法: L = AB + AD + BE A + B + AD + BE A + AD) + ( B + BE)

数电基础复习要点.docx

第一章逻辑代数(重点) 要求:1.1、1.2化简(公式法、卡诺图),1.3各表示方法之间的转换 一、公式和定理 ① 先画孤立项,按2、4、8-2n 画相邻项 ② 画大圈,少画圈 ③ 每个圈都有新的项加入 习题 第二章门电路 要求:2.2简单分析,2.4掌握概念(拉电流、灌电流、开门电阻、关门电阻和噪声容限) 1拉电流:数字电路中0,1是根据电位高低区分的。在电位高时,下一级电路会从本级电路拉出一部分电流,即高电平 输出,对负载提供电流。 2灌电流:在电位低时,上一级电路会向本级电路灌入一部分电流,即低电平输111,要吸收负载的电流。 3开门电阻:人们常把2.5 Q 称为TTL 反相器电路的开门电阻,用表示。(相当于输入高电平) 4关门电阻:人们常把0.7Q 称为TTL 反相器电路的关门电阻,用Rw 表示。 5噪声容限:是指Uo 为规定值时,允许Ui 波动的最大范围。 6 CMOS 集成电路的主要特点:功耗极低,扇出能力强。(人们常把能带同类门电路的个数称为扇出系数,其大小反 映 了扇111能力。) 习题: 证明: 应用:化简 常 用 公 式 A•B+A • E=A 二A • (B+ B ) =A 并项法 A+A • B 二 A =A ・(1 ・ B) =A 推 广:A+A () =A 吸收法 A+ A • B=A+B =(A+ A )・(A +B )二A+B 消去法 A • B+A • C+B • C= A • B+ A • C 不予证明 配项消项法 A-B+A-B=A-B+A-B 异或的非为同或 摩根定律: A ・B=A+B A+B=A-B 二、 交换律、结合律、 三、 常用公式 四、卡诺图

数字电路基础知识(附答案)

第九章 数字电路基础知识 一、 填空题 1、 模拟信号是在时间上和数值上都是 变化 的信号。 2、 脉冲信号则是指极短时间内的 电信号。 3、 广义地凡是 非正弦 规律变化的,带有突变特点的电信号均称脉冲。 4、 数字信号是指在时间和数值上都是 离散 的信号,是脉冲信号的一种。 5、 常见的脉冲波形有,矩形波、 锯齿波 、三角波、 尖脉冲 、阶梯波。 6、 一个脉冲的参数主要有 Vm 、tr 、 Tf 、T P 、T 等。 7、 数字电路研究的对象是电路的 输出与输入 之间的逻辑关系。 8、 电容器两端的电压不能突变,即外加电压突变瞬间,电容器相当于 短路 。 9、 电容充放电结束时,流过电容的电流为0,电容相当于 开路 。 10、 通常规定,RC 充放电,当t = (3-5)τ 时,即认为充放电过程结束。 11、 RC 充放电过程的快慢取决于电路本身的 时间常数 ,与其它因素无关。 12、 RC 充放电过程中,电压,电流均按 指数 规律变化。 13、 理想二极管正向导通时,其端电压为0,相当于开关的 闭合 。 14、 在脉冲与数字电路中,三极管主要工作在 截止区 和 饱和区 。 15、 三极管输出响应输入的变化需要一定的时间,时间越短,开关特性 越好 。 16、 选择题 1 若逻辑表达式F A B =+,则下列表达式中与F 相同的是( A ) A 、F A B = B 、F AB = C 、F A B =+ 2 若一个逻辑函数由三个变量组成,则最小项共有( C )个。 A 、3 B 、4 C 、8 3 图9-1所示是三个变量的卡诺图,则最简的“与或式”表达式为(A ) A 、A B A C BC ++ B 、AB B C AC ++ C 、AB BC AC ++ 4 下列各式中哪个是三变量A 、B 、C 的最小项( C ) A 、A B C ++ B 、A BC + C 、ABC 5、模拟电路与脉冲电路的不同在于( B )。 A 、模拟电路的晶体管多工作在开关状态,脉冲电路的晶体管多工作在放大状态。 B 、模拟电路的晶体管多工作在放大状态,脉冲电路的晶体管多工作在开关状态。 C 、模拟电路的晶体管多工作在截止状态,脉冲电路的晶体管多工作在饱和状态。 D 、模拟电路的晶体管多工作在饱和状态,脉冲电路的晶体管多工作在截止状态。 6、己知一实际矩形脉冲,则其脉冲上升时间( C )。 A 、.从0到Vm 所需时间 B 、从0到22 Vm 所需时间 C 、从0.1Vm 到0.9Vm 所需时间 D 、从0.1Vm 到22 Vm 所需时间

(完整版)数字电子技术基础第五版期末知识点总结

(完整版)数字电子技术基础第五版期末知识点总结 数电课程各章重点 第一、二章逻辑代数基础知识要点 各种进制间的转换,逻辑函数的化简。 一、二进制、十进制、十六进制数之间的转换;二进制数的原码、反码和补码 .8421码二、逻辑代数的三种基本运算以及5种复合运算的图形符号、表达式和真值表:与、或、非三、逻辑代数的基本公式和常用公式、基本规则 逻辑代数的基本公式逻辑代数常用公式: 吸收律:A AB A =+ 消去律:B A B A A +=+ A B A AB =+ 多余项定律:C A AB BC C A AB +=++ 反演定律:B A AB += B A B A ?=+ B A AB B A B A +=+ 基本规则:反演规则和对偶规则,例1-5 四、逻辑函数的三种表示方法及其互相转换 逻辑函数的三种表示方法为:真值表、函数式、逻辑图会从这三种中任一种推出其它二种,详见例1-7 五、逻辑函数的最小项表示法:最小项的性质;例1-8 六、逻辑函数的化简:要求按步骤解答 1、利用公式法对逻辑函数进行化简 2、利用卡诺图对逻辑函数化简 3、具有约束条件的逻辑函数化简例1.1 利用公式法化简 BD C D A B A C B A ABCD F ++++=)( 解:BD C D A B A C B A ABCD F ++++=)( BD C D A B A B A ++++= )(C B A C C B A +=+ BD C D A B +++= )(B B A B A =+ C D A D B +++= )(D B BD B +=+ C D B ++= )(D D A D =+ 例 1.2 利用卡诺图化简逻辑函数∑=)107653()(、、、、 m ABCD Y 约束条件为 ∑8)4210(、、、、 m 解:函数Y 的卡诺图如下:

(完整版)数字电子技术基础第五版期末知识点总结

数电课程各章重点 第一、二章 逻辑代数基础知识要点 各种进制间的转换,逻辑函数的化简。 一、二进制、十进制、十六进制数之间的转换;二进制数的原码、反码和补码 .8421码 二、逻辑代数的三种基本运算以及5种复合运算的图形符号、表达式和真值表:与、或、非 三、逻辑代数的基本公式和常用公式、基本规则 逻辑代数的基本公式 逻辑代数常用公式: 吸收律:A AB A =+ 消去律:B A B A A +=+ A B A AB =+ 多余项定律:C A AB BC C A AB +=++ 反演定律:B A AB += B A B A •=+ B A AB B A B A +=+ 基本规则:反演规则和对偶规则,例1-5 四、逻辑函数的三种表示方法及其互相转换 逻辑函数的三种表示方法为:真值表、函数式、逻辑图 会从这三种中任一种推出其它二种,详见例1-7 五、逻辑函数的最小项表示法:最小项的性质;例1-8 六、逻辑函数的化简:要求按步骤解答 1、 利用公式法对逻辑函数进行化简 2、 利用卡诺图对逻辑函数化简 3、 具有约束条件的逻辑函数化简 例1.1 利用公式法化简 BD C D A B A C B A ABCD F ++++=)( 解:BD C D A B A C B A ABCD F ++++=)( BD C D A B A B A ++++= )(C B A C C B A +=+ BD C D A B +++= )(B B A B A =+

C D A D B +++= )(D B BD B +=+ C D B ++= )(D D A D =+ 例1.2 利用卡诺图化简逻辑函数 ∑=)107653()(、、、、 m ABCD Y 约束条件为 ∑8)4210(、、、、 m 解:函数Y 的卡诺图如下: 00 01 11 1000011110AB CD 111 × 11××××D B A Y += 第三章 门电路知识要点 各种门的符号,逻辑功能。 一、三极管开、关状态 1、饱和、截止条件:截止:T be V V <, 饱和:β CS BS B I I i => 2、反相器饱和、截止判断 二、基本门电路及其逻辑符号 与门、或非门、非门、与非门、OC 门、三态门、异或; 传输门、OC/OD 门及三态门的应用 三、门电路的外特性 1、输入端电阻特性:对TTL 门电路而言,输入端通过电阻接地或低电平时,由于输入电流流过该电阻,会在电阻上产生压降,当电阻大于开门电阻时,相当于逻辑高电平。 习题2-7 5、输出低电平负载电流I OL 6、扇出系数N O 一个门电路驱动同类门的最大数目 第四章 组合逻辑电路知识要点

数电和模电知识点

模电复习资料 第一章半导体二极管 一.半导体的基础知识 1.半导体---导电能力介于导体和绝缘体之间的物质(如硅Si、锗Ge)。 2.特性---光敏、热敏和掺杂特性。 3.本征半导体----纯净的具有单晶体结构的半导体。 4. 两种载流子----带有正、负电荷的可移动的空穴和电子统称为载流子。 5.杂质半导体--在本征半导体中掺入微量杂质形成的半导体。体现的是半导体的掺杂特性。 *P型半导体:在本征半导体中掺入微量的三价元素(多子是空穴,少子是电子)。 *N型半导体: 在本征半导体中掺入微量的五价元素(多子是电子,少子是空穴)。6. 杂质半导体的特性 *载流子的浓度---多子浓度决定于杂质浓度,少子浓度与温度有关。 *体电阻---通常把杂质半导体自身的电阻称为体电阻。 *转型---通过改变掺杂浓度,一种杂质半导体可以改型为另外一种杂质半导体。 7. PN结 * PN结的接触电位差---硅材料约为0.6~0.8V,锗材料约为0.2~0.3V。 * PN结的单向导电性---正偏导通,反偏截止。 8. PN结的伏安特性 二. 半导体二极管 *单向导电性------正向导通,反向截止。 *二极管伏安特性----同PN结。 *正向导通压降------硅管0.6~0.7V,锗管0.2~0.3V。 *死区电压------硅管0.5V,锗管0.1V。 3.分析方法------将二极管断开,分析二极管两端电位的高低: 若 V阳 >V阴( 正偏 ),二极管导通(短路); 若 V阳

2) 等效电路法 直流等效电路法 *总的解题手段----将二极管断开,分析二极管两端电位的高低: 若 V阳 >V阴( 正偏 ),二极管导通(短路); 若 V阳

数电基础知识点考核

数字电路基础知识的考核全是选择填空希望对大家有用本文档有子安整理 1.以下代码中为无权码的是(CD ) A. 8421BCD码 B. 5421BCD码 C. 余三码 D. 格雷码 2.以下代码中为恒权码的为(AB ) A.8421BCD码 B. 5421BCD码 C. 余三码 D. 格雷码 3.一位十六进制数可以用(C )位二进制数来表示。 A. 1 B. 2 C. 4 D. 16 5.在一个8位的存储单元中,能够存储的最大无符号整数是(CD ) A.(256)10 B.(127)10 C.(FF)16 D.(255)10 7.矩形脉冲信号的参数有ABC A.周期 B.占空比 C.脉宽 D.扫描期 9. 常用的BCD码有(CD ) A.奇偶校验码 B.格雷码 C.8421码 D.余三码 10.与模拟电路相比,数字电路主要的优点有(BCD ) A.容易设计 B.通用性强 C.保密性好 D.抗干扰能力强 1.描述脉冲波形的主要参数有幅度、周期、频率、脉宽、上升时间、下降时间、占空比

2. 数字信号的特点是在(时间)上和(峰值)上都是断续变化的,其高电平和低电平常用(0 )和(1 )来表示。 3. 分析数字电路的主要工具是(逻辑代数),数字电路又称作(逻辑代数) 4. 在数字电路中,常用的计数制除十进制外,还有(二进制)、(八进制)、(十六进制) 5. 常用的BCD码有(421BCD码)、(2421BCD码)、(5421BCD码)、(余三码)、等。常用的可靠性代码有(格雷码)、(奇偶校验码)等。 1.逻辑变量的取值1和0可以表示:( D ) 。 A.开关的闭合、断开 B.电位的高、低 C.真与假 D.电流的有、无 3. 当逻辑函数有n个变量时,共有( D )个变量取值组合? A. n B. 2n C. N2 D. 2N 4. 逻辑函数的表示方法中具有唯一性的是( AD )。 A .真值表 B.表达式 C.逻辑图 D.卡诺图 7.求一个逻辑函数F的对偶式,可将F中的( ACD ) A .“·”换成“+”,“+”换成“·” B.原变量换成反变量,反变量换成原变量C.变量不变 D.常数中“0”换成“1”,“1”换成“0” E.常数不变 9.在何种输入情况下,“与非”运算的结果是逻辑0。( D ) A.全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1 10.在何种输入情况下,“或非”运算的结果是逻辑0。( BCD )

相关主题
文本预览
相关文档 最新文档