当前位置:文档之家› 简易电子琴 实训报告

简易电子琴 实训报告

简易电子琴 实训报告
简易电子琴 实训报告

《基于FPGA的数字系统设计》项目设计文档项目名称: 基于VHDL的简易电子琴

姓名:

院系:

专业:

学号:

指导教师:

完成时间: 2012 年 6月20日

基于FPGA的数字系统设计项目成绩评价表

指导教师:年月日

目录

1 项目名称、内容与要求………………………………………4页

设计内容…………………………………………………4页

具体要求…………………………………………………4页

2 系统整体架构(Architecture Description)………………4页

设计思路…………………………………………………4页

系统原理(包含:框图等阐述)与设计说明等内容…4页

创新点与原创性内容……………………………………5页

3 系统设计 (含HDL或原理图输入设计)………………………5页

注:此部分包含主要逻辑单元、模块、源代码等内容

HDL 代码…………………………………………………5页

系统整体电路图(或RTL级电路图)…………………7页

4 系统仿真(Simulation Waveform)…………………………7页

5 FPGA实现(FPGA Implementation)………………………9页

6 总结(Closing)……………………………………………10页参考书目(Reference):…………………………………………11页附录(Appendix):………………………………………………12页

1、项目名称、内容与要求

1.1设计内容:

设计一个简易的八音符电子琴,它可通过按键输入来控制音响。

具体要求:

1、设计一个简易电子琴;

2、利用实验箱的脉冲源产生1,2,3,。。。共7个或14个音阶信号;

3、用指示灯显示节拍;

4、*能产生颤音效果。

2、系统整体架构

设计思路

本课程设计目的在于灵活运用EDA技术编程实现一个简易电子琴,它要求在实验箱上构造一个电子琴电路,不同的音阶对应不同频率的正弦波。按下每个代表不同音阶的按键时,能够发出对应频率的声音

系统原理

采用现场可编程逻辑器件(FPGA)制作,利用EDA软件中的VHDL硬件描述语言编程进行控制,然后烧制实现。采用FPGA来设计的原理图如图所示。它由控制输入电路、FPGA、显示电路和扬声器电路组成。

图采用FPGA设计的电子琴原理方框图

控制输入电路主要是为用户设计的,起到一个输入控制的作用。FPGA是现场可编程逻辑器件,也是本设计方案的核心内容,它是实现电子琴运作的主要控制模块。由设计者把编好 VHDL程序烧制到现场可编程逻辑器件FPGA中,然后通过

控制输入电路把乐谱输入到FPGA,产生不同的频率驱动扬声器,发出不同的乐谱。同时也把发出的乐谱符号通过显示器输出。

创新点与原创性内容

对于电子琴的设计,很多方案均可以实现,但是采用数字逻辑电路来制作的话电路硬件所需的器材多,体积庞大,比较复杂,而且精度和稳定度都不是很高。如果采用的是现场可编程逻辑器件来实现, 它的优点是所有电路集成在一块芯片上,此方案所需的外围电路简单,这样它的体积就减少了,同时还提高了系统的稳定度。还可以用Modelsim XE 软件进行仿真和调试等。设计人员可以充分利用VHDL硬件描述语言方便的编程,提高开发效率,缩短研发周期,降低研发成本;而且易于进行功能的扩展,实现方法灵活,调试方便,修改容易。。因此,电子琴的设计我们选择采用现场可编程逻辑器件(FPGA)制作来实现。

3 系统设计

HDL 代码

library ieee;

use speakera is

port( clk : in std_logic; --时钟输入

index:in std_logic_vector( 6 downto 0); -- 按键输入

spks: out std_logic); --扬声器输出

end;

architecture one of speakera is

signal preclk,fullspks :std_logic;

signal tone: std_logic_vector( 10 downto 0);

begin

search : process (index)

begin

case index is

when "0000001" => tone <= "001";

when "0000010" => tone <= "000";

when "0000100" => tone <= "";

when "0001000" => tone <= "";

when "0010000" => tone <= "";

when "0100000" => tone <= "";

when "1000000" => tone <= "";

when others => tone <= "";

end case;

end process;

divdeclk :process(clk)

variable count4 :std_logic_vector( 3 downto 0);

begin

preclk <= '0';

if count4 > 11 then preclk <= '1'; count4 :="0000";

elsif clk'event and clk='1' then count4 := count4 + 1;

end if;

end process;

genspks : process(preclk,tone)

variable count11 : std_logic_vector( 10 downto 0);

begin

if preclk'event and preclk = '1' then

if count11 =16#7ff# then count11 := tone;fullspks <= '1'; else count11 := count11 + 1;fullspks <= '0';

end if;

end if;

end process;

dealyspks : process( fullspks)

variable count2 : std_logic;

begin

if fullspks'event and fullspks = '1' then count2 := not count2;

if count2 = '1' then spks <= '1';

else spks <= '0';

end if;

end if;

end process;

end;

系统整体电路图

4 系统仿真(Simulation Waveform)

功能仿真:

时序仿真:

5 FPGA实现

数控分频模块的目的是对基准脉冲分频,得到1,2,3,4,5,6,7,七个音符对应频率。该模块的VHDL描述中包括了三个模块,首先对12MHz的基准脉冲进行再次分频,得到的便是所需要的频率。

实验箱:

实验操作内容及步骤:

1、打开QuartusⅡ,创建工程

首先建立一个QuartusⅡ的工程,指定工作目录、工程名称、顶层设计实体名称、目标器件系列、工具设置等。步骤如下:

(1)选择“开始”—>“程序”—>Altera—> QuartusⅡ

(2)选择File—>New Project Wizard 界面,单击Next按钮,进入工程名称的设定、工程目录的选择。

(3)在对话框中,指定工程存放的目录、工程名和顶层实体名,工程名和顶层实体名要求相同,工程目录可以随意设置,但必须是英文的目录,单击

Next按钮。

(4)用户指定目标器件,根据开发板所使用的期间来选择,单击Next按钮。(5)新建一个VHDL语言。

(6)把程序代码输入,编译、运行程序,单击processing—>start comilation,运行程序。

2.引脚分配

(1)单击assignments—>timing analysis setting进行引脚分配

(2)直接导入引脚分配,单击assignments—>import assignments

(3)引脚分配完成

3.产生波形图

(1)创建波形文件,单击File—>other files—>vector waveform file

(2)点击空白处,点开INSERT->insert node,再点击—>node finder—>list,把元器件添加进去,得到其仿真波形图

系统整体组装图:

6 总结(Closing)

通过两个周的学习,最后完成了我的设计任务——基于VHDL语言的简易电子琴的设计。通过本次课程设计的学习,我对数据库软件EDA技术、VHDL、等系列知识都有了一定的了解。使用EDA技术开发页面的能力也有了很大提高。

我深深的体会到设计课的重要性和目的性所在。本次设计课不仅仅培养了我们实际操作能力,也培养了我们灵活运用课本知识,理论联系实际,独立自主的进行设计的能力。它不仅仅是一个学习新知识新方法的好机会,同时也是对我所学知识的一次综合的检验和复习,使我明白了自己的缺陷所在,从而查漏补缺。

在设计中要求我们要有耐心和毅力,还要细心,稍有不慎一个小小的错误就会导致结果的不正确,而对错误的检查要求我要有足够的耐心,通过这次设计和设计中遇到的问题,也积累了一定的经验。用VHDL硬件描述语言的形式来进行数字系统的设计方便灵活,利用EDA软件进行编译优化仿真极大地减少了电路设计时间和可能发生的错误,降低了开发成本,这种设计方法必将在未来的数字系统设计中发挥越来越重要的作用。VHDL的设计关键是电路逻辑设计,而一个程序的关键是总体设计。对于硬件设计接触不多的我们清楚这一点也许不无好处。

设计的工作此课程设计从构思到最后完成的这两个周内,周围的很多同学和朋友给予了我善意的帮助,还有老师同学们对我的不厌其烦的指导,使我对此类课程设计的设计流程以及脊髓有了很深的了解,通过你们的帮助,我把从计算机组成原理这门课上学到的理论第一次用到了实际设计上。在此,我深深的表示感谢。

参考书目(Reference):

[1] 张肃文,.EDA技术与VHDL语言.北京:清华大学出版社

[2] 张亦华,延明. 数字电路EDA入门.北京:北京邮电大学出版社

[3] 《VHDL 程序设计》(第二版). 曾繁泰等. 清华大学出版社

[4]《VHDL与数字电路设计》.卢毅, 赖杰. 科学出版社

[5]《EDA技术与实验》陈强

附录(Appendix):

library ieee;

use speakera is

port( clk : in std_logic; --时钟输入

index:in std_logic_vector( 6 downto 0); -- 按键输入

spks: out std_logic); --扬声器输出

end;

architecture one of speakera is

signal preclk,fullspks :std_logic;

signal tone: std_logic_vector( 10 downto 0);

begin

search : process (index)

begin

case index is

when "0000001" => tone <= "001";

when "0000010" => tone <= "000";

when "0000100" => tone <= "";

when "0001000" => tone <= "";

when "0010000" => tone <= "";

when "0100000" => tone <= "";

when "1000000" => tone <= "";

when others => tone <= "";

end case;

end process;

divdeclk :process(clk)

variable count4 :std_logic_vector( 3 downto 0);

begin

preclk <= '0';

if count4 > 11 then preclk <= '1'; count4 :="0000";

elsif clk'event and clk='1' then count4 := count4 + 1;

end if;

end process;

genspks : process(preclk,tone)

variable count11 : std_logic_vector( 10 downto 0);

begin

if preclk'event and preclk = '1' then

if count11 =16#7ff# then count11 := tone;fullspks <= '1';

else count11 := count11 + 1;fullspks <= '0';

end if;

end if;

end process;

dealyspks : process( fullspks)

variable count2 : std_logic;

begin

if fullspks'event and fullspks = '1' then count2 := not count2; if count2 = '1' then spks <= '1';

else spks <= '0';

end if;

end if;

end process;

end;

简易电子琴课程设计报告

摘要 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器,它在音奏中已成为不可缺少的一部分。本文主要介绍运用555定时器制作简易电子琴的设计方法。该方法利用555定时器构成多谐振荡器,通过按键控制不同的RC组合应用多谐振荡器产生不同频率八个基本音阶的脉冲信号波,然后连到扬声器上,即可发出八音阶的音乐。在该设计中,利用了555定时器构成的多谐振荡器产生各音阶不同频率的脉冲,不仅仅使其频率调节更加方便,而且发出的声音稳定、饱满。 前言 (1) 第一章设计内容及要求 (2) 1.1 设计的基本原理 (2) 1.2 设计要求 (2) 第二章系统组成及工作原理 (3) 2.1 系统组成 (3) 2.1.1 按键模块 (3) 2.1.2音调发生模块 (3) 2.1.3音响模块 (4) 2.2 工作原理 (4) 2.2.1 NE555多谐振荡器 (5) 2.2.2 LM386集成功率放大器 (7) 第三章方案比较 (8) 3.1 方案一 (8) 3.2 方案二 (9) 3.3方案三 (10) 3.4方案分析与比较 (11) 第四章参数计算、器件选择 (12) 4.1 参数计算 (12) 4.2 器件选择 (12) 第五章系统调试及测试结果分析 (14) 5.1 系统调试 (18) 关键词:简易电子琴,555定时器,多谐振荡器,八个基本音阶 目录

5.2 硬件调试···················································19 2 5.3 测试结果与分析 (19) 前言 随着当代科学设计的发展,电子产品在人们的日常生活中占据着越来越多重要的地位。电子琴作为其中的一个典型代表,引领着许多孩子进入音乐的殿堂。因此,我们选择了简易电子琴这个题目来制作,因为它不仅能过提高实际动手能力,还与实际生活有着紧密的联系。 模拟电子技术基本教程是一门实践性很强的课程,而此次课程设计依据的理论基础是模拟电子技术基本教程,其主要目的是通过本课程的培养,启发学生的创造性思维,进一步探究书本知识。本课程设计是设计出一个电子产品,先焊接好,再进行检验。 在电子课程设计的过程中,系统的概念十分重要,熟悉从系统的层次分析问题、解决问题的方式。基本方法除了实验课中要求掌握的功能测试、故障排除等各种一般方法以外、要特别注重使用“电路拼装”的方法。课程设计的一般步骤如下:(1)、选择一个课题;(2)、查阅有关资料;(3)、进行可行论证;(4)、通过设计方案的比较,定出最优的设计方案;(5)、分解为多个模块;(6)、分别设计各个功能模块电路,并完成调试;(7)、组装成完整的数字系统;(8)、编写设计、安装、调试报告。 1 第一章设计原理及要求 1.1 设计的原理 555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成施密特触发器、单稳态触发器及多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。 5.4 误差分析 (19) 实验小结及心得体会 (20) 结论······························································21 参考 文献·························································22 附录一····························································23 附录二···························································· 24 3

(数字信号发生器+电子琴)实验报告

实验一数字信号发生器和电子琴制作 一、实验目的 1.熟悉matlab的软件环境,掌握信号处理的方法,能在matlab的环境下完成对 信号的基本处理; 2.学会使用matlab的GUI控件编辑图形用户界面; 3.了解matlab中一些常用函数的使用及常用运算符,并能使用函数完成基本的 信号处理; 二、实验仪器 计算机一台,matlab R2009b软件。 三、实验原理 1.数字信号发生器 MATLAB是矩阵实验室(Matrix Laboratory)的简称,用于算法开发、数据可视化、数据分析以及数值计算的高级技术计算语言和交互式环境,主要包括MATLAB 和Simulink两大部分。 已知的常用正弦波、方波及三角波,可以通过matlab自带的函数实现,通过改变函数的幅值、相位和频率可以得到不同的信号。 正弦信号:y=A*sin(2*pi*f*t); 方波信号:y=A*square(2*f*pi*x+c); 三角波信号:y=A*sawtooth(2*pi*f*x+c); 2. 电子琴 电子琴的每个音阶均对应一个特定频率的信号,通过调用数字信号发生器产生一系列指定的频率的声音,从而达到虚拟的电子琴的功能。界面中包含1、2、…、7共 7 个琴键,鼠标按下时即发声,松开时发声停止。同时能够产生正弦波、方波、三角波等常见的波形的数字信号,然后将数字信号写入声卡的缓冲区,最后由声卡播放出相应的声音。 已知音乐的七个音阶的主频率分别是131Hz、147Hz、165Hz、175Hz、196Hz、220Hz和247Hz,分别构造正弦波、方波和三角波,可以组成简单的电子琴。

四、实验内容 1.数字信号发生器的制作 (1)搭建GUI界面 图形用户界面(Graphical User Interface,简称GUI,又称图形用户接口)是指采用图形方式显示的计算机操作用户界面。与早期计算机使用的命令行界面相比,图形界面对于用户来说在视觉上更易于接受。 Matlab环境下的图形用户界面(GUI)是由窗口、光标、按键、菜单、文字说明等对象(Objects)构成的一个用户界面。用户通过一定的方法(如鼠标或键盘)选择、激活这些图形对象,使计算机产生某种动作或变化,比如实现计算、绘图等。MATLAB的用户,在指令窗中运行demo 打开那图形界面后,只要用鼠标进行选择和点击,就可产生丰富的内容。 利用GUI控件中自带的按钮,根据需要组成如下图1所示的数字信号发生器的Gui界面。 图1 数字信号发生器的GUI界面

简易电子琴课程设计

课程设计任务书学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 简易电子琴电路的设计仿真与实现 初始条件: 可选元件:集成运算放大器LM324、电阻、电位器、电容若干,直流电源,或自备元器件。 可用仪器:示波器,万用表,直流稳压源,函数发生器 要求完成的主要任务: (1)设计任务 根据要求,完成对简易电子琴电路的仿真设计、装配与调试,鼓励自制稳压电源。 (2)设计要求 ①设计一简易电子琴电路,按下不同琴键即改变RC值,能发出C调的八个基本音阶,采用运算放大 ②选择电路方案,完成对确定方案电路的设计。 ③利用Proteus或Multisim仿真设计电路原理图,确定电路元件参数、掌握电路工作原理并仿真实现系 统功能。 ④安装调试并按规范要求格式完成课程设计报告书。 ⑤选做:利用仿真软件的PCB设计功能进行PCB设计。 时间安排: 1、前半周,完成仿真设计调试;并制作实物。 2、后半周,硬件调试,撰写、提交课程设计报告,进行验收和答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.模电课设概述 (1) 1.1设计背景 (1) 1.2设计目的及意义 (1) 1.3开发环境proteus简介 (1) 2.电路原理 (3) 2.1 RC桥式振荡电路及频率选择 (3) 2.2振荡条件 (4) 3.总体方案设计 (5) 3.1实验电路设计思路 (5) 3.2设计电路图 (6) 3.3实验参数选择 (6) 4.仿真曲线及结果分析 (7) 4.1仿真操作过程及曲线 (7) 4.2仿真结果分析 (14) 5.实物制作及仿真、实物的差异 (15) 5.1实物制作过程和调试过程 (15) 5.2 仿真、实物的差异 (16) 6.心得体会 (17) 7.元件清单 (18) 8.参考文献 (19)

简易电子琴实训报告样本

《基于FPGA的数字系统设计》项目设计文档 项目名称:基于VHDL的简易电子琴 姓名: 院系: 专业: 学号: 指导教师: 完成时间: 年6月20日基于FPGA的数字系统设计项目成绩评价表

指导教师: 年月日 目录

1 项目名称、内容与要求………………………………………4页 1.1 设计内容…………………………………………………4页 1.2 具体要求…………………………………………………4页 2 系统整体架构( Architecture Description) ………………4页 2.1 设计思路…………………………………………………4页 2.2 系统原理( 包含: 框图等阐述) 与设计说明等内容…4页 2.3 创新点与原创性内容……………………………………5页 3 系统设计(含HDL或原理图输入设计)………………………5页 注: 此部分包含主要逻辑单元、模块、源代码等内容 3.1 HDL 代码…………………………………………………5页 3.2 系统整体电路图( 或RTL级电路图) …………………7页 4 系统仿真( Simulation Waveform) …………………………7页 5 FPGA实现( FPGA Implementation) ………………………9页 6 总结( Closing) ……………………………………………10页参考书目( Reference) : …………………………………………11页附录( Appendix) : ………………………………………………12页

1、项目名称、内容与要求 1.1设计内容: 设计一个简易的八音符电子琴, 它可经过按键输入来控制音响。1.2 具体要求: 1、设计一个简易电子琴; 2、利用实验箱的脉冲源产生1, 2, 3, 。。。共7个或14个音阶信号; 3、用指示灯显示节拍; 4、*能产生颤音效果。 2、系统整体架构 2.1 设计思路 本课程设计目的在于灵活运用EDA技术编程实现一个简易电子琴, 它要求在实验箱上构造一个电子琴电路, 不同的音阶对应不同频率的正弦波。按下每个代表不同音阶的按键时, 能够发出对应频率的声音 2.2 系统原理 采用现场可编程逻辑器件( FPGA) 制作, 利用EDA软件中的VHDL硬件描述语言编程进行控制, 然后烧制实现。采用FPGA来设计的原理图如图1.1所示。它由控制输入电路、FPGA、显示电路和扬声器电路组成。

简易电子琴实验报告材料

邮电大学 课题名称:简易电子琴的设计和制作学院:信息与通信工程学院 专业:信息工程 班级:2014211126 :家威 学号:2014210691 班序号:10 指导老师:王丹志

一、摘要及关键字 本课程设计以制作出一个简易电子琴为最终目的。该电子琴以NE555为核心,通过公式计算不同频率按键对应的阻值来实现不同的音调,然后通过运算放大器将信号放大并通过喇叭发出声音。由此设计仿真电路图,选择合适器件进行电路搭建,并进行调试直至达到要求,最后进行数据统计。 关键字:电子琴振荡电路运算放大器 二、设计任务及要求 了解由555定时器构成简易电子琴的电路及原理。设计并利用NE555集成运算电路以及外加电阻,电容在第一级产生不同频率的音乐,再利用LM386功率放大电路对音乐信号进行放大,最后通过扬声器产生21个音符。 三、设计思路、总体结构框图 设计思路 555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积小,使用起来方便。只要在外部配上几个适当的阻容元

件,就可以构成施密特触发器、单稳态触发器及多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。由555定时器电路组成的多谐振荡器,它的振荡频率可以通过改变振荡电路中的RC原件的数值进行改变。根据这一原理,通过设定一些不同的RC数值并通过控制电路,按照一定的速度依次将不同的RC组件接入振荡电路,就可以使振荡电路按照设定的要求,有节奏的发射已设定的音频信号与音乐。 总体结构框图

四、分块电路和总体电路的设计分块电路: 琴键端(开关、电阻) NE555电路:

数电课程设计--简易电子琴

目录 1 设计任务 (1) 1.1 基本任务 (1) 1.2 扩展任务 (1) 2 设计方案原理 (1) 3 单元电路的设计 (2) 3.1 多谐振荡器 (2) 3.2 琴键开关 (3) 3.3 扩音器(喇叭) (4) 3.4 器件选择 (4) 4 电路图的绘制 (5) 5 电路的仿真及调试 (6) 6 体会 (6) 参考文献 (8)

1设计任务 电子琴是一种很简单的电子产品,目前市场上所售的电子琴多为基于单片机所设计的。本次课设要求利用数电知识,设计一个能奏出八个音阶的电子琴。虽然没有基于单片机的电子琴那么多的功能,但是电子琴的基本功能是可以满足的。 本次设计的主要内容为:根据数电课程所学内容,结合其他相关课程知识,设计一个简易电子琴,以加深对单片机知识的理解,锻炼实践动手能力。 本次设计的任务为: 1.1基本任务 ①具备8个按键,能够分别较准确地弹奏出1?1八个音符。 ②选择电路方案,完成对确定方案电路的设计。计算电路元件参 数与元件选择、并画出总体电路原理图,阐述基本原理。用 Proteus或MULTISIM软件完成仿真,并按规定格式写出课程设计 报告书。 1.2扩展任务 ①能够弹奏出至少21个音符(三个音阶)。 ②能够较便捷地完成音阶的升降。(按一个开关实现升8度,按另一个开关实现降 8度) 2设计方案原理 本方案为利用555多谐振荡器能输出脉冲信号的特性,通过改变振荡器外接电阻的阻值来改变振荡器输出脉冲的频率,驱动喇叭发出各种音阶。电子琴所用琴键即为改变电阻阻值的开关,通过改变阻值使输出与琴键音阶相对应。

原理框图如下: 图1原理框图 3单元电路的设计 3.1多谐振荡器 利用多谐振荡器产生周期脉冲电路图如下图所示 图2 多谐振荡器电路实现 图中引脚功能: 1脚:GND或Vss)外接电源负端VSS或接地,一般情况下接地。 2脚:TR低触发端。 3脚:OUT(或Vo)输出端。 4脚:Rd是直接清零端。当R端接低电平,则时基电路不工作,此时不论TR、TH 处于何电平,时基电路输出为“ o”,该端不用时应接高电平。 5脚:CO或VC)为控制电压端。若此端外接电压,则可改变内部两个比较器的

“电子琴”生产工艺 实习报告

“电子产品综合设计”课程“简易电子琴”生产工艺 设计报告书 设计成员一:XXX 设计成员二:XXX 设计成员三:XXX 设计时间:2011.10.23-2011.11.04

广东机电职业技术学院 目录 1绪论 (2) 1.1课题背景 (2) 1.2电子琴技术特点 (2) 1.3电子琴的性能指标 (4) 2.1 生产技术文件 (5) 设计电路图 (5) 技术说明 (6) 技术说明 (7) 元器件明细表 (8) PCB板工艺流程图 (9) 工艺流程图 (10) 印制板装配图 (11) 印制板工艺说明 (12) 制造工艺 (13) 焊接工艺说明 (14) 工具明细表 (15) 2.2作业指导书 (16) 安全职责规程 (17) 设计总结 (18) 附 (18)

1、绪论 1.1课题背景 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音乐扮演重要的角色,单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。本文的主要内容是用AT89S52单片机为核心控制元件,设计一个电子琴。以单片机作为主控核心,与键盘扬声器等模块组成核心主控制模块,在主控模块上设有8个按键,和一个复位按键。 主要对使用单片机设计简易电子琴进行了分析,并介绍了基于单片机电子琴硬件的组成。利用单片机产生不同频率来获得我们要求的音阶,最终可随意弹奏要表达的音符。并且分别从原理图,主要芯片,个模块原理及各莫奎的程序的调试来详细阐述。 一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,构成我们想演奏的那首曲目。当然对于单片机来产生不同的频率非常方便,我们可以利用单片机的定时/计数器T0来产生这样的方波频率信号,因此,我们只要把一首歌曲的音阶对应频率关系编写正确就可以达到我们想要的曲目。 1.2电子琴技术特点 播放模块 播放模块是由喇叭构成,它几乎不存在噪声,音响效果较好,而且由于所需驱动功率较小,且价格低廉,所以,被广泛应用。 按键控制模块 电子琴设有8个按键,其中7个作为音符输入,另外一个作为模式转换按键,实现用户存放的自动播放歌曲。7个按键分别代表7个音符,包括中音段的全部音符,通过软硬件设计,模式转换按键触发外部中断,中断使程序跳转,实现模式转换,启动电子琴。然后通过查询电子琴所按下的按键,读取电子琴输入状态,跳转到对应的程序入口,实现自编歌曲的。 3 总体硬件组成框图

电子琴实习报告

电子琴实习报告 基于单片机的电子琴控制系统 专业班级:* 姓名:* 时间:~ 指导教师:* 201x年 1月 10日 基于单片机的电子琴控制系统课程设计任务书 设计出一个基于单片机的电子琴控制系统。准确地理解有关要求,独立完成系统设计,要求所设计的电路具有以下功能: 实现基本的音符1-7的弹奏和数码显示。 自动弹奏播放一首简单的歌曲。 随机弹奏曲子时,可以实时记忆,并可复读。 画出电路原理图,正确使用逻辑关系;确定元器件及元件参数;进行电路模拟仿真; SCH文件生成与打印输出。 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩

在规定时间内,完成叙述并回答问题。 基于单片机的电子琴控制系统 班级:* 姓名:* 摘要:电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器,采用半导体集成电路,对乐音信号进行放大,通过扬声器产生音响。用户可以自己弹奏乐曲,也可以自动伴奏。单片机具有强大的控制功能和灵活的编程实现特性,便于设计各种以单片机为核心的控制系统。本课程设计是用AT89S51单片机为核心控制元件,用其他外围电路配合单片机组成一个简单的电子琴控制系统,能实现音乐弹奏,自动播放音乐等功能。本系统功能由单片机控制实现,具有运行稳定,电路简单,软件功能完善,控制系统可靠等特点。 关键词:电子琴,单片机,外围电路,系统分析,汇编程序,仿真,PCB,调试 本次设计的电子琴控制系统由单片机最小系统加上按键电路,数码显示电路,声音播放电路组成,通过单片机内烧写的汇编程序来输出控制信号控制外围电路的工作。电子琴设计不同于其他设计,需要输出1到7七个不同音阶的声音,因此信号的输出有其特殊性,可以利用单片机定时计数器产生不同频率的方波信号来形成七个不同的音阶,不同音

简易电子琴设计报告(实物)

简易电子琴设计 姓 名 学 号 院、系、部 班 级 完成时间 ※※※※※※※※ ※ ※ ※ ※※ ※※ ※ ※ ※ ※ ※※※※※ 2013级 模拟电子技术课程设计

摘要 本课题的目的就是熟悉555定时器的内部结构和功能、多级三极管组成放大电路,合理使用和搭配其他电子元件,能够完成本课题所需设计的电路的设计,并设定各元件的参数使其实现三键的简易电子琴的功能。熟练掌握了多级放大电路的原理及应用。 电子琴以电位器调整输入信号从而引起单稳态触发器产生方波,再经过两级放大电路放大信号传送给喇叭,驱动喇叭发声,经过电位器的调解输入信号产生变化,从而发出不同频率的声音,达到设计要求。 关键词:555定时器整流器稳压器

目录 第1章设计目地 (1) 第2章设计主体 (1) 第3章硬件电路设计 3.1 整体框图 (1) 3.2 555定时器构成的单稳态触发器 (1) 3.3 电位器电阻的确定 (3) 3.4 两级三极管构成的多级放大电路 (4) 第4章总体原理电路图 (5) 第5章仿真结果及说明 (6) 第6章设计总结 (6) 参考文献 (7)

第1章设计目的 学会555定时器构成的单稳态触发器,掌握数字电子技术基础的基本概念、基本理论和基本方法,学会使用Multisim仿真软件。 第2章设计主体 用555定时器构成单稳态触发器实现,当按键按下后发光二极管发光,给电路提供电源;同时隔离选频电阻之间的电平,选频用电位器调节实现(可加在开关和触发器输入之间),触发器输出经两个9013功率放大,来驱动喇叭,调节电位器,使其音阶发出1、2、3,设计供电电源电路,线路板合理布局,要求布局美观,布线合理,注意各个芯片的管脚图,注意电源和地使用不同颜色的线区分,注意芯片的电源和地不要接反,在实习报告中写出设计过程,设计原理及体会。 第3章硬件电路设计 3.1 整体框图 图3.1 整体框图 3.2 555定时器构成的单稳态触发器

(完整版)基于51单片机简易电子琴的设计

电子琴的设计 1 课题背景 单片微型计算机室大规模集成电路技术发展的产物,属于第四代电子计算机它具有高性能、高速度、体积小、价格低廉、稳定可靠、应用广泛的特点。他的应用必定导致传统的控制技术从根本上发生变革。因此,单片机的开发应用已成为高科技和工程领域的一项重大课题。 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音乐扮演重要的角色,单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。本文的主要内容是用AT89S52单片机为核心控制元件,设计一个电子琴。以单片机作为主控核心,与键盘扬声器等模块组成核心主控制模块,在主控模块上设有8个按键,和一个复位按键。 主要对使用单片机设计简易电子琴进行了分析,并介绍了基于单片机电子琴硬件的组成。利用单片机产生不同频率来获得我们要求的音阶,最终可随意弹奏要表达的音符。并且分别从原理图,主要芯片,个模块原理及各莫奎的程序的调试来详细阐述。 一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,构成我们想演奏的那首曲目。当然对于单片机来产生不同的频率非常方便,我们可以利用单片机的定时/计数器T0来产生这样的方波频率信号,因此,我们只要把一首歌曲的音阶对应频率关系编写正确就可以达到我们想要的曲目。一. 任务要求与总体设计方案 1.1 设计任务与要求 利用所给键盘的1,2,3,4,5,6,7,8八个键,能够发出7个不同的音调,而且有一个按键可以自动播放歌曲,要求按键按下时发声,松开延时一小段时间,中间再按别的键则发另外一音调的声音,当系统扫描到键盘按下,则快速检测出是哪一个按键被按下,然后单片机的定时器启动,发出一定频率的脉冲,该频率的脉冲经喇叭驱动电路放大滤波后,就会发出相应的音调。如果在前一个按下的键发声的同时有另一个按键被按下,则启动中断系统。前面的发音停止,转到后按的键的发音程序。发出后按的键的音调。 1.2 设计方案 1.2.1 播放模块 播放模块是由喇叭构成,它几乎不存在噪声,音响效果较好,而且由于所需驱动功率

简易电子琴课程设计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 简易电子琴电路的设计仿真与实现 初始条件: 可选元件:集成运算放大器LM324、电阻、电位器、电容若干,直流电源,或自备元器件。 可用仪器:示波器,万用表,直流稳压源,函数发生器 要求完成的主要任务: (1)设计任务 根据要求,完成对简易电子琴电路的仿真设计、装配与调试,鼓励自制稳压电源。 (2)设计要求 ①设计一简易电子琴电路,按下不同琴键即改变RC值,能发出C调的八个基本音阶,采 用运算放大器构成振荡电路,用集成功放电路输出。已知八个基本音阶在C调时所对 ②选择电路方案,完成对确定方案电路的设计。 ③利用Proteus或Multisim仿真设计电路原理图,确定电路元件参数、掌握电路工作原 理并仿真实现系统功能。 ④安装调试并按规范要求格式完成课程设计报告书。 ⑤选做:利用仿真软件的PCB设计功能进行PCB设计。 时间安排: 1、前半周,完成仿真设计调试;并制作实物。 2、后半周,硬件调试,撰写、提交课程设计报告,进行验收和答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.模电课设概述 (1) 1.1设计背景 (1) 1.2设计目的及意义 (1) 1.3开发环境proteus简介 (1) 2.电路原理 (3) 2.1 RC桥式振荡电路及频率选择 (3) 2.2振荡条件 (4) 3.总体方案设计 (5) 3.1实验电路设计思路 (5) 3.2设计电路图 (6) 3.3实验参数选择 (6) 4.仿真曲线及结果分析 (7) 4.1仿真操作过程及曲线 (7) 4.2仿真结果分析 (14) 5.实物制作及仿真、实物的差异 (15) 5.1实物制作过程和调试过程 (15) 5.2 仿真、实物的差异 (16) 6.心得体会 (17) 7.元件清单 (18) 8.参考文献 (19)

单片机电子琴实验报告修订版

单片机电子琴实验报告 修订版 IBMT standardization office【IBMT5AB-IBMT08-IBMT2C-ZZT18】

单片机及DSP课程设计报告 专业:通信工程 班级: 姓名: 学号: 指导教师:李贺 时间:2015-06-22~2015-07-03 通信与电子工程学院 基于单片机的电子琴设计 一、课设的目的及内容 本设计主要是用单片机为核心控制元件,设计一台电子琴。以单片机作为主控核心,与键盘、蜂鸣器、数码管等模块组成核心主控制模块,在主控模块上设有7个按键和1个复位按键。本系统主要是完成的功能:电子琴弹奏并显示所按的按键对应音的唱名。关于声音的处理,使用单片机C语言,利用定时器来控制频率,而每个音符的符号只是存在自定义的表中。 总之,本设计的电子琴有以下要求: (1)用键盘作出电子琴的按键,共7个,每键代表1个音符。各音符按照符合电子琴的按键顺序排列; (2)达到电子琴的基本功能,可以用弹奏出简单的乐曲; (3)在按下按键发出音符的同时显示出音符所对应的唱名

即1(dao)、2(ruai)、3(mi)、4(fa)、5(sao)、6(la)、7 (xi)。 二、问题分析、解决思路及原理图 本系统采用STC89C52RC为主控芯片,因其精度较高,操作比较灵活,输入电路和输出电路由芯片来进行处理,电路的系统的稳定性高,功耗小。其中,输入电路有7个独立按键,通过按键随意按下所要表达的音符,作为电平送给主体电路,中央处理器通过识别,解码输出音符,在蜂鸣器中发出有效的声音。由于需要显示的信息不多,显示电路未采用液晶屏显示,而是使用数码管显示电路负责显示按下的琴键所对应音符的唱名,这样既节省了成本,又降低了编程难度。 图1 如图1所示基于单片机STC89C52RC的电子琴电路,它主要由琴键控制电路、数码管显示电路、音频功放电路和时钟-复位电路四部分所构成。 三、硬件设计 (一)琴键控制电路 琴键控制电路作为人机联系的输入部分,也是间接控制数码显示和音频功放的重要组成部分。本设计采用独立式键盘的思路。 独立式键盘的特点是一键一线,各键相互独立,每个键各接一条I/O口线,通过检测I/O输入线的电平状态,可判断出被按下的按键。? 显而易见,这样电路简单,各条检测线独立,识别按下按键的软件编写简单。 适用于键盘按键数目较少的场合,不适用于键盘按键数目较多的场合,因为将占用较多的I/O口线。? 独立式键盘的7个独立按键分别对应一个I/O口线,当某一按键按下时,对应的检测线就变成了低电平,与其它按键相连的检测线仍为高电平,只需读入I/O输入线的状态,判别哪一条I/O输入线为低电平,很容易识别哪个键被按下。

简易电子琴1

目录 1概述 (1) 2方案设计 (2) 2.1系统设计要求 (2) 2.2电子琴系统的组成 (2) 2.3电子琴系统的设计思想 (2) 2.3.1 硬件设计思想 (2) 2.3.2 软件设计思想 (3) 3硬件电路设计 (4) 3.1系统方案 (4) 3.2系统功能框图 (5) 3.3功能模块详细设计 (5) 3.4主要芯片功能描述 (9) 4 系统软件设计 (10) 4.1主程序流程图 (10) 4.2源程序 (12) 4.3设计总结 (16) 5 仿真与调试 (17) 结束语 (19) 参考文献 (20)

1概述 单片微型计算机简称单片机,是典型的嵌入式微控制器(Microcontroller Unit),单片机芯片 常用英文字母的缩写MCU表示单片机,单片机又称单片微控制器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。相当于一个微型的计算机,和计算机相比,单片机只缺少了I/O设备。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。同时,学习使用单片机是了解计算机原理与结构的最佳选择。它最早是被用在工业控制领域。 由于单片机在工业控制领域的广泛应用,单片机由芯片内仅有CPU的专用处理器发展而来。最早的设计理念是通过将大量外围设备和CPU集成在一个芯片中,使计算机系统更小,更容易集成进复杂的而对体积要求严格的控制设备当中。INTEL的Z80是最早按照这种思想设计出的处理器,当时的单片机都是8位或4位的。其中最成功的是INTEL的8031,此后在8031上发展出了MCS51系列单片机系统。因为简单可靠而性能不错获得了很大的好评。尽管2000年以后ARM已经发展出了32位的主频超过300M的高端单片机,直到目前基于8031的单片机还在广泛的使用。在很多方面单片机比专用处理器更适合应用于嵌入式系统,因此它得到了广泛的应用。事实上单片机是世界上数量最多处理器,随着单片机家族的发展壮大,单片机和专用处理器的发展便分道扬镳。 现代人类生活中所用的几乎每件电子和机械产品中都会集成有单片机。手机、电话、计算器、家用电器、电子玩具、掌上电脑以及鼠标等电脑配件中都配有1-2部单片机。汽车上一般配备40多部单片机,复杂的工业控制系统上甚至可能有数百台单片机在同时工作!单片机的数量不仅远超过PC机和其他计算的总和,甚至比人类的数量还要多。

模电课程设计简易电子琴的设计

1. 模电课设概述 现在的电子琴一般使用PCM或AWM采样音源。所谓采样就是录制乐器的声音,将其数字化后存入ROM里,然后按下键时CPU回放该音。甚至有一些高级编曲键盘可以使用外置采样(比如Tyros 3的硬盘音色)。现代电子琴并非“模仿”乐器音色。它使用的就是真实乐器音色。当然,现在力度触感在电子琴里是必备的。而且现代电子琴还加上了老式电子琴的滤波器,振荡器,包络线控制来制造和编辑音色。甚至也带上了老式电子琴的FM 合成机构。 本次课程设计主要是通过对电子琴主体部分的电路进行模仿设计,按下不同琴键改变RC值,发出C调的八个基本音阶,采用运算放大器构成振荡电路,用集成功放电路输出音调,从而达到电子琴固有的基本功能。 2. Proteus软件简介 Proteus软件是由英国LabCenter Electronics公司开发的EDA工具软件,由ISIS和ARES两个软件构成,其中ISIS是一款便捷的电子系统仿真平台软件,ARES是一款高级的布线编辑器,它集成了高级原理布线图、混合模式SPICE电路仿真、PCB设计以及自动布线来实现一个完整的电子设计。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。 Proteus软件的模拟仿真直接兼容厂商的SPICE模型,采用了扩充的SPICE3F5电路仿真模型,能够记录基于图表的频率特性、直流电的传输特性、参数的扫描、噪声的分析、傅里叶分析等,具有超过8000种的电路仿真模型。 Proteus软件支持许多通用的微控制器,如PIC、AVR、HC11以及8051;包含强大的调试工具,可对寄存器、存储器实时监测;具有断点调试功能及单步调试功能;具有对显示器、按钮、键盘等外设进行交互可视化仿真的功能。此外,Proteus可对IAR C-SPY、KEIL 等开发工具的源程序进行调试。 此外,在Proteus中配置了各种虚拟仪器,如示波器、逻辑分析仪、频率计,便于测量和记录仿真的波形、数据。 3. 简易电子琴基本原理 3.1 音乐产生原理 由于一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我

课程设计简易电子琴

课程设计 课程名称 题目名称 专业班级 学生姓名 学号 指导教师 二○--年月日

目录 1 设计任务书 (1) 2 电路总体设计 (2) 3 各部分电路设计 (3) 3.1 R、C选频网络电路的设计 (3) 3.2 波形产生电路 (4) 4 Multisim仿真与数据分析 (7) 5总结 (9) 6致谢 (10) 参考文献 (11) 附录A 总原理图 (12) 附录B 系统元器件清单 (13)

1 设计任务书 设计目的 (1)熟悉数字电子技术课程设计的方法和思想 (2)熟悉仿真软件Multisim使用 (3)进一步理解555多谐振荡器在设计过程中的使用 (4)熟悉555多谐振荡器的应用 (5)熟悉简易电子琴的设计方法和过程 设计思路 (1)先查询简易电子琴的七个音(各包括低、中、高三个音)的频率 (2)再设置充电电阻和固定电容,根据公式算出每个音阶对应电阻的阻值,从而确定R C选频网络电路。 (3)用多谐振荡器产生矩形脉冲驱动蜂鸣器发出不同声音 (4)用仿真软件中的虚拟仪器示波器和频率计测量每一个音阶的波形和频率。

2 电路总体设计 本电路主要是由RC选频网络电路和555定时器构成的多谐振荡器组成。因为设计要实现电子琴dou、ruai、mi、fa、suo、la、si七个音的发声。而每一个音都对应一个频率和电阻,所以通过设计不同的电阻和电容组成R、C选频电路。每一个频率经过多谐振荡器都会产生一个矩形脉冲。因为是在仿真软件中无法实现电子琴发声,采用示波器测量矩形波形和用频率计测量每一个选频网络中对应的每一个频率,再和实际数据相比较来判定发出的声音是否准确。该电路实现了dou、ruai、mi、fa、suo、la、si(各包括低、中、高三个音)的发声仿真。实现了简易电子琴的设计。电路整体框图如下: 图2.1 基本方框图 该电路具有原理简单、容易制作、调试方便等特点。能实现二十一种频率的方波且能驱动喇叭C调的二十一个音阶。其中,稳压电源可以由电脑提供。

简易电子琴实验报告

北京邮电大学 课题名称:简易电子琴的设计和制作学院:信息与通信工程学院 专业:信息工程 班级:2014211126 姓名:李家威 学号:2014210691 班内序号:10

指导老师:王丹志 一、摘要及关键字 本课程设计以制作出一个简易电子琴为最终目的。该电子琴以NE555为核心,通过公式计算不同频率按键对应的阻值来实现不同的音调,然后通过运算放大器将信号放大并通过喇叭发出声音。由此设计仿真电路图,选择合适器件进行电路搭建,并进行调试直至达到要求,最后进行数据统计。 关键字:电子琴振荡电路运算放大器 二、设计任务及要求 了解由555定时器构成简易电子琴的电路及原理。设计并利用NE555集成运算电路以及外加电阻,电容在第一级产生不同频率的音乐,再利用LM386功率放大电路对音乐信号进行放大,最后通过扬声器产生21个音符。

三、设计思路、总体结构框图 设计思路 555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成施密特触发器、单稳态触发器及多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。由555定时器电路组成的多谐振荡器,它的振荡频率可以通过改变振荡电路中的RC原件的数值进行改变。根据这一原理,通过设定一些不同的RC数值并通过控制电路,按照一定的速度依次将不同的RC组件接入振荡电路,就可以使振荡电路按照设定的要求,有节奏的发射已设定的音频信号与音乐。

总体结构框图 四、分块电路和总体电路的设计分块电路: 琴键端(开关、电阻)

简易电子琴电路课程设计(模拟电路)

逗你玩 课程设计报告 课程名称:模拟电子技术课程设计 专业班级:电子信息工程(2)班 学生学号: 0705110931 学生姓名:夏柳 所属院部:信息技术学院 指导教师:王雪 20 08 ——20 09 学年第 2 学期

《模拟电子技术》课程设计报告 --------简易电子琴的制作 简易电子琴电路 摘要: 本课程设计以制作一个简易电子琴为最终结果,主要以硬件测试为主。首先进行电路分析,设计电路图,其次考虑所有可能出现的问题,完善电路图,再选择合适的器件,最后按照电路图线路搭试,调试测试,直至达到理想的目标。当然在这之前对焊点等要事先查阅资料,了解手工焊接技术;查阅有关4100芯片,741芯片的功能等参数,还有测试其芯片是否好坏的电路和方法;同时还要了解RC振荡电路,与其产生振荡的条件跟原理,选择稳幅电路,理解其稳幅的原理;当然还要计算八个音阶的产生的频率,再根据RC振荡电路计算电阻值,以便选择合适的电阻,这些都是课前准备。测试电子琴我们要一步一步的,首先是振荡电路的线路测试,其次选频电路的测试,功放电路的测试,最后再是总体测试,尽量消除噪音,使音质能够很清晰。这样电子琴我们就做好了。 关键图:

电子琴的主干图

第一部分:课前准备 1.1芯片性能指标 1.2手工焊接技术 1.3元件制作工艺 第二部分:设计方案及选定 2.1八个音阶的频率 2.2振荡电路的选择与设计 2.3八个电阻的选择 2.4稳幅方式的选择 2.5功率放大电路的设计 第三部分:简易电子琴电路的检测与误差分析 3.1芯片测试 3.2振荡电路测试 3.3电子琴的测试 第四部分:元器件清单 第五部分:心得体会 第六部分:参考文献

简易电子琴课程设计报告超详细

广州大学机械与电气工程学院 电子信息工程系 课 程 设 计 报 告 课程名称:电子技术课程设计 设计题目:简易电子琴 专业班级:电子信息工程 2 班 设计者:苏伟强 学号: 51 06 指导教师:秦剑彭绍湖

设计所在学期: 2016~2017学年第 2 学期 设计所在时间: 2014年7月6日-12日 地点: 电子信息实验楼314 315 目录 一课程设计题目 (3) 1 题目分析理解 二设计任务及要求 (3) 1 要求 2 任务安排 3 进度安排 三电路设计 (4) 1 方案论证 2 单元电路设计与数据分析 文氏桥正弦波震荡电路 LM386组成的功率放大电路 3 确认理论参数 四电路仿真............................................................................. (13)

1 multisim仿真图 2 仿真结果 3 误差分析及总结 五元器件的选择......................................................................... .. (19) 1 元件分析 1 元件清单 六 PCB设计......................................................................... ..................................................错误!未定义书签。0 1 原理图设计 2 选择封装 3 生成PCB 七制作与调试......................................................................... (22) 1 电路板的热转印,焊接元器件 2 故障排除并且接通电源 3 调试过程 4 数据记录和分析 八试验中遇到的问题 (25) 1 仿真过程遇到的问题 2 制作PCB遇到的问题 3 电路调试的时候遇到的问题 九心得体会 (26) 十参考文献............................................................................. . (27)

电子琴设计制作完整实验报告

简易电子琴的设计和制作实验报告 撰写人: 学号:2015210079 指导老师:张君毅 院系:信息与通信工程学院 单位名称:北京邮电大学 撰写时间:二零一七年三月二十七日

目录 一、设计任务与要求 1.1设计任务与要求 1.2选题目的与意义 二、设计和电路 2.1设计思路 2.2 总体结构框图 2.3 分块电路和总体电路的设计 三、实现功能 3.1 已完成的功能 3.2 主要的测试数据必要的测试方法 3.3 必要的测试方法 四、故障及问题分析 五、总结和结论 六、器件清单 七、仿真原理图及波形图 八、参考文献 九、附件 9.1《模拟综合实验过程考核统计表》 9.2《实验频率及功率记录表》

摘要 本实验以设计并制作出简易电子琴为目的,通过对电子琴信号的产生和功率放大方面的研究和实践,可对实际电子琴的音色、音质方面的改进起到一定的探索和促进作用。在这个实验中,我们需要建立系统的概念,培养综合应用电子电路及知识的能力,学习小型电子系统的设计、安装和调试方法。最终需要培养我们的工程实践技能和排除故障的能力。我通过该试验完成了简易电子琴的设计与制作,达到了实验要求的频率和功率,并想法设法改良了音色,最终的实验效果不错。 关键词:简易电子琴、运算放大电路、多谐振荡器、频率 一、设计任务与要求 1.1 设计任务与要求 了解由555定时器构成简易电子琴的电路及原理。设计并利用NE555集成运算电路以及外加电阻,电容在第一级产生不同频率的音乐,再利用LM386功率放大电路对音乐信号进行放大,最后通过扬声器产生21个音符。 基本要求:能演奏两个八度音阶。 提高要求:将音阶扩大到再降八度,进一步提高输出功率到0.5w。 1.2 选题的目的与意义 (1)培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产实际知识去分析和解决工程问题的能力。 (2)学习较复杂的电子系统设计的一般方法,了解和掌握模拟,数字电路等知识解决电子信息方面常见实际问题的能力。 (3)学习调试电子电路的方法,提高实际动手能力。了解由555定时器构成简易电子琴的电路及原理。

简易电子琴设计报告

电子技术课程设计报告 学院:电气与电子工程学院专业班级:电信班 学生姓名: 指导教师: 完成时间:2013 . 7 . 4 成绩:

简易电子琴设计报告 一. 设计要求 本设计是基于学校实验室的环境,根据实验室提供的实验条件来完成设计任务,设计一个简易电子琴。 (1).按下不同琴键即改变 RC值,能发出C调的八个基本音阶,采用运算放大器构成振荡电路,用集成功放电路输出。 (2).选择电路方案,完成对确定方案电路的设计。计算电路元件参数并记录对应不同音阶时的电路参数值、元件选择、并画出总体电路原理图,阐述基本原理。 (3).连接安装调试电路。 (4).写出设计总结报告。 二.设计条件 实验室为该设计提供的仪器设备和主要元器件如下: 电脑模拟、数字电子技术实验箱一台 集成运算放大器实验插板两块 直流稳压电源一台 数字万用表一块 主要元器件运放μA741、电阻、电容、导线等 电脑模拟、数字电子技术实验箱上有喇叭、三极管以及芯片的插座;集成运算放大器实验插板上有不同参数值的电阻和电容,可任意选用。 三. 设计的作用、目的 1.学会用仿真软件对设计的原理图进行仿真。培养创新能力和创新思维, 锻炼学生自学软件的能力,通过查阅手册和文献资料,培养独立分 析问题和解决问题的能力。 2.培养学生正确的设计思想,理论联系实际的工作作风,严肃认真、实事

求是的科学态度和勇于探索的创新精神。 3.通过课程设计,使学生在理论计算、结构设计、工程绘图、查阅设计资 料、标准与规范的运用和计算机应用方面的能力得到训练和提高。 4.掌握电子电路的一般设计方法,了解电子产品研制开发过程,巩固、 深化和扩展学生的理论知识与初步的专业技能。 5.为今后从事电子技术领域的工程设计打好基础基本要求。 四.设计的具体实现 1.系统概述 本课程设计采用模拟电路中的RC正弦振荡原理。设计出的电子琴音阶频率满足国际标准,La调频率满足国际标准音C调频率440 Hz。模拟电路中的RC 正弦波振荡电路具有一定的选频特性,乐声中的各音阶频率也是以固定的声音频率为机理的。 简易电子琴是由RC选频网络、集成运算放大器、功率放大电路组成。其框图如图下所示: 其核心是集成运算放大器构成RC正弦波振荡器,实验板上提供了8个音节电阻和电容(C串=C并=0.068μf固定) 构成RC串并联选频网络,分别取不同的电阻值(通过琴键开关接通RC串并联网络的8对电阻)使振荡器产生八个音阶信号。最后,通过扬声器发出乐音。 2.单元电路设计(仿真)与分析 (1)八个音阶的频率 设计电子琴,就要进行八个音阶的调试。查阅资料得知C调各音的振荡频率如下表。

相关主题
文本预览
相关文档 最新文档