当前位置:文档之家› 555简易电子琴设计报告

555简易电子琴设计报告

555简易电子琴设计报告
555简易电子琴设计报告

北京交通大学

电子技术课程设计报告

随着科学技术的发展和人类的进步,电子技术已经成了各种工程技术的核心,特别是进入信息时代以来,电子技术更是成了基本技术,其具体应用领域涵盖了通信领域、控制系统、测试系统、计算机等等各行各业

生活中常使用到许多的电子设备,它给我们生活上的便利与影响。而电子琴就是一个很明显的例子,这些有时甚至含有内建音乐,有时又可以千变万化,真让人想动手试试看,因此我们对它产生了许多问题与想象。本文就是关于用555定时器制作简易电子琴的过程及基本原理。

1.方案介绍

1.1.总体框图

1.2.模块功能

该电路包括按钮开关,定值电阻,555振荡器和扬声器三部分组成

1输入端:由八个按钮开关与各自的定值电阻串联在并联组成输入端

2频率产生端:根据定值电阻的不同输入,由555产生不同的信号频率

3扬声器端口: 接受信号频率发出特定的频率

1.3.方案选择

【设计方案一】

数字电路电子琴

采用一个555集成定时器组成简易电子琴。整个电路由主振荡器,颤音振荡器,扬声器和琴键按钮等部分组成。

主振荡器由555定时器,电阻,按键及电容组成。

【设计方案二】

单片机电子琴

程序可分如下:

初始化模块、判断按键模块、键值处理模块、音乐处理模块、中断模块、0处理模块、表单模块。

初始化模块:对8279键盘的部分进行初始化和中断初始化。

键值处理模块:用8279的状态字来判断它是否按键(FIFORAM不能清除已处理的数据,但8279的状态字会发生相应改变)。输入的键值与1-8的物理值01H-08H进行比较,如果与其中某个数相等,则跳到1-8的键值处理模块;如果是9或者A,则跳到音乐处理模块。如果输入是0,则跳到0处理模块。结尾跳到初始化模块。

音乐处理模块:专门处理音乐中的1-8的发音。它们发音不同是因为波的频率不同,所以要发出不同的音,只要实现发出的波的频率不同即可。于是,可通过定时的方法来中断产生不同的方波。可把1-8的定时初值放在一个表单内。

中断模块:T0中断是为键值处理模块服务;T1中断是为音乐处理模块服务。

0处理模块:在音乐处理过程中,按下0则音乐暂停,此时可如其他按键(包括音乐按键)。当再按下0键时,则最近继续的音乐中断。

表单模块:TAB音符表单存放1-8的ASCII码值;FREQUENCY音符初值表单存放1-8音符的中断初值;DAT、DAT1分别存放两首歌曲相应的中断初值和节拍等信息。

综上:第一种方案简易,易于实现,所用知识为数字电子技术。接下来将介绍这种方案。

2.1

原理图:

对不同音阶的波形仿真:1音

2音

3音

4音

5音

6音

7音

8音

2.2最终设计电路

考虑到通过扬声器直接播放输出信号效果不好,所以在扬声器前把信号加以放大。最终决定用以下电路进行实验。

3. 主要元器件介绍

3.1. 555芯片介绍及元器件选择

555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积很小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成史密特触发器、单稳态触发器及自激多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。

多谐振荡器的工作原理:多谐振荡器是能产生矩形波的一种自激振荡器电路,由于矩形波中除基波外还含有丰富的高次谐波,故称为多谐振荡器。多谐振荡器没有稳态,只有两个暂稳态,在自身因素的作用下,电路就在两个暂稳态之间来回转换,故又称它为无稳态电路。由555定时器构成的多谐振荡器如图1所示,R1,R2和C是外接定时元件,电路中将高电平触发端(6脚)和低电平触发端(2脚)并接后接到R2和C的连接处,将放电端(7脚)接到R1,R2的连接处。

由于接通电源瞬间,电容C来不及充电,电容器两端电压uc为低电平,小于(1/3)Vcc,故高电平触发端与低电平触发端均为低电平,输出uo为高电平,放电管VT截止。这时,电源经R1,R2对电容C充电,使电压uc按指数规律上升,当uc上升到(2/3)Vcc时,输出uo为低电平,放电管VT导通,把uc从(1/3)Vcc 上升到(2/3)Vcc这段时间内电路的状态称为第一暂稳态,其维持时间TPH的长短与电容的充电时间有关。充电时间常数T充=(R1+R2)C。

由于放电管VT导通,电容C通过电阻R2和放电管放电,电路进人第二暂稳态.其维持时间TPL的长短与电容的放电时间有关,放电时间常数T放=R2C0随着C的放电,uc下降,当uc下降到(1/3)Vcc时,输出uo。为高电平,放电管VT截止,Vcc 再次对电容c充电,电路又翻转到第一暂稳态。不难理解,接通电源后,电路就在两个暂稳态之间来回翻转,则输出可得矩形波。电路一旦起振后,uc电压总是在(1/3~2/3)Vcc 之间变化。图1(b)所示为工作波形。

图1 555定时器构成的多谐振荡器电路及工作波形

集成555定时器有双极性型和CMOS型两种产品。一般双极性型产品型号的最后三位数都是555,CMOS型产品型号的最后四位数都是7555.它们的逻辑功能和外部引线排列完全相同。器件电源电压推荐为4.5~12V,最大输出电流200mA以内,并能与TTL、CMOS逻辑电平相兼容。其主要参数见表8.1。

555定时器的内部电路框图及逻辑符号和管脚排列分别如下:

【逻辑符号】

【内部原理图】

(TH):高电平触发端,简称高触发端,又称阈值端,标志为TH。V

i1

(TR):低电平触发端,简称低触发端,标志为TR。

V

i2

V

:控制电压端。

CO

:输出端。

V

O

Dis:放电端。

Rd:复位端。

555定时器内含一个由三个阻值相同的电阻R组成的分压网络,产生V

CC 和V

CC

个基准电压;两个电压比较器C

1、C

2

;一个由与非门G

1

、G

2

组成的基本RS触发器(低

电平触发);放电三极管T和输出反相缓冲器G

3

Rd是复位端,低电平有效。复位后, 基本RS触发器高端为1(高电平),经反相缓冲器后,输出为0(低电平)。

【逻辑功能】

在555定时器的V

CC 端1/3和地之间加上电压,并让V

CO

悬空,则比较器C

1

的同相输

入端接参考电压1/3V

CC ,比较器C

2

反相输入端接参考电压2/3V

CC

,为了学习方便,我

们规定:

当TH端的电压>1/3V

CC 时,写为V

TH

=1,当TH端的电压<2/3V

CC

时,写为V

TH

=0。

当TR端的电压>2/3V

CC 时,写为V

TR

=1,当TR端的电压<1/3V

CC

时,写为V

TR

=0。

① 低触发:当输入电压V

i2

CC

2/3 且V

i1

<1/3V

CC

时,V

TR

=0,V

TH

=0,比较器C

2

输出为

低电平,C

1

输出为高电平,基本RS触发器的输入端TH=0、TR=1,,经输出反相缓冲器

后,V

O

=1,T截止。这时称555定时器“低触发”;

② 保持:若V

i2>1/3V

CC

且V

i1

<2/3V

CC

,则V

TR

=1,V

TH

=0,基本RS触发器保持,V

O

和T

状态不变,这时称555定时器“保持”。

③ 高触发:若V

i1>2/3V

CC

,则V

TH

=1,比较器C

1

输出为低电平,无论C

2

输出何种电

平,基本RS触发器,经输出反相缓冲器后,V

O

=0;T导通。这时称555定时器“高触发”。

V CO 为控制电压端,在V

CO

端加入电压,可改变两比较器C

1

、C

2

的参考电压。正常工

作时,要在V

CO 和地之间接0.01μF(电容量标记为103)电容。放电管T

l

的输出端Dis

为集电极开路输出。

元器件选择:

○1根据555多谐震荡的原理选择电阻:由上所述可知:555芯片输出端输出的频率计算

公式为f=1.43/((R1+2R2)C)

再由下表所列的八个音阶分别对应的频率可以令R1为一固定阻值,通过开关调节的几个串联的电阻当做R2。分别选用6个2千欧电阻,一个1千欧和一个十三千欧的电阻串联接于引脚6和引脚七。大概电路图1所示。

○2电容选择:通过计算可以得出6引脚所需电容为0.1μF。输出端3接4.7μF电容。根据以上选择计算实际频率与真实频率对比如下:

3.2. 所需元器件清单

1. 万用表,镊子,剪线钳,面包板,5V电源,导线。

2. 集成电路 NE555 1片.LM386 1片。

3. 电阻 2K 6个;1 2个;13K 1个

4. 电容 0.01uF 1个; 0.1uF 1个4.7uF 1 个

5. 按键开关 8个

喇叭(0.5W) 1 个

4.组装电路

在组装电路时因当注意:

1.面包板的结构,正确使用面包板;

2.应当注意各个芯片的工作原理和接脚,在连接电路之前查清每个芯片实际接脚;

3.了解按键开关的工原理图,正确使用按键开关。

5.检验电路

1.检查电路连接是否正确。根据原理检查电路连接是否正确,是否符合工作原理。查看指导书看芯片引脚功能是否理解正确。

2.检验芯片是否已被损坏。换一个芯片检验。

3.给电路通电,检查能否正常工作。

6.结束语:

课程设计的几天过去的很快,我们过的很累,但是我们过的很高兴,很兴奋。因为我们每天都过得很充实,每天都有收获。通过对简易电子琴的设计,我深刻认识到了“理论联系实际”的这句话的重要性与真实性。最重要的是在实践中理解了书本上的知识,明白了学以致用的真谛,也明白课程设计的意义所在,它教会我们如何运用所学的知识去解决实际的问题,提高我们的分析能力、动手能力及处理问题的能力,还增强了我们的团结互助精神。在整个设计到电路设计仿真、电路的组装以及调试过程中,我们用心做好每一步,虽然总做的电子琴原理简单,电路连接不是很困难,但是每一份付出背后的成功都是值得开心的。当然,我从实训中也发现了自身的许多缺点及不足,比如做事不仔细,动手能力欠缺。

此次实训中,在我没有领全器件时,芯片落在实验室时,遇到问题需要帮助时,受到了很多老师同学的帮助,在此我要感谢他们。

简易电子琴课程设计报告

摘要 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器,它在音奏中已成为不可缺少的一部分。本文主要介绍运用555定时器制作简易电子琴的设计方法。该方法利用555定时器构成多谐振荡器,通过按键控制不同的RC组合应用多谐振荡器产生不同频率八个基本音阶的脉冲信号波,然后连到扬声器上,即可发出八音阶的音乐。在该设计中,利用了555定时器构成的多谐振荡器产生各音阶不同频率的脉冲,不仅仅使其频率调节更加方便,而且发出的声音稳定、饱满。 前言 (1) 第一章设计内容及要求 (2) 1.1 设计的基本原理 (2) 1.2 设计要求 (2) 第二章系统组成及工作原理 (3) 2.1 系统组成 (3) 2.1.1 按键模块 (3) 2.1.2音调发生模块 (3) 2.1.3音响模块 (4) 2.2 工作原理 (4) 2.2.1 NE555多谐振荡器 (5) 2.2.2 LM386集成功率放大器 (7) 第三章方案比较 (8) 3.1 方案一 (8) 3.2 方案二 (9) 3.3方案三 (10) 3.4方案分析与比较 (11) 第四章参数计算、器件选择 (12) 4.1 参数计算 (12) 4.2 器件选择 (12) 第五章系统调试及测试结果分析 (14) 5.1 系统调试 (18) 关键词:简易电子琴,555定时器,多谐振荡器,八个基本音阶 目录

5.2 硬件调试···················································19 2 5.3 测试结果与分析 (19) 前言 随着当代科学设计的发展,电子产品在人们的日常生活中占据着越来越多重要的地位。电子琴作为其中的一个典型代表,引领着许多孩子进入音乐的殿堂。因此,我们选择了简易电子琴这个题目来制作,因为它不仅能过提高实际动手能力,还与实际生活有着紧密的联系。 模拟电子技术基本教程是一门实践性很强的课程,而此次课程设计依据的理论基础是模拟电子技术基本教程,其主要目的是通过本课程的培养,启发学生的创造性思维,进一步探究书本知识。本课程设计是设计出一个电子产品,先焊接好,再进行检验。 在电子课程设计的过程中,系统的概念十分重要,熟悉从系统的层次分析问题、解决问题的方式。基本方法除了实验课中要求掌握的功能测试、故障排除等各种一般方法以外、要特别注重使用“电路拼装”的方法。课程设计的一般步骤如下:(1)、选择一个课题;(2)、查阅有关资料;(3)、进行可行论证;(4)、通过设计方案的比较,定出最优的设计方案;(5)、分解为多个模块;(6)、分别设计各个功能模块电路,并完成调试;(7)、组装成完整的数字系统;(8)、编写设计、安装、调试报告。 1 第一章设计原理及要求 1.1 设计的原理 555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成施密特触发器、单稳态触发器及多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。 5.4 误差分析 (19) 实验小结及心得体会 (20) 结论······························································21 参考 文献·························································22 附录一····························································23 附录二···························································· 24 3

基于单片机的简单电子琴毕业设计

基于单片机的简单电 子琴毕业设计 目录 1引言 (1) 2 总体设计 (2) 2.1 设计目的与要求 (2) 2.2 电子琴系统的组成 (2) 2.3 系统设计框图 (3) 3 详细设计 (4) 3.1 硬件设计 (4) 3.2 硬件简介 (5) 3.2.1 AT89C51简介 (5) 3.2.2 LED数码管 (10) 3.3整体程序处理流程图设计 (11) 3.4矩阵式键盘的识别和显示与设计 (12) 3.4.1矩阵式键盘的结构与工作原理 (12) 3.4.2矩阵式键盘的按键识别方法 (13) 3.4.3键盘接口必须具有的4个基本功能 (15) 3.5音乐播放设计 (16) 3.5.1音乐发声原理 (16) 3.5.2音乐播放流程图 (18) 3.5.3放歌子程序流程图 (18) 4实现联调 (20) 4.1 Proteus 简介 (20) 4.2 keil 简介 (20) 4.3利用keil与Proteus进行的调试 (21) 5 总结与展望 (23)

参考文献 (25) Abstract: (26) 致谢 (27) 附录A:程序设计 (28) 附录B 印制电路板(PCB)设计 (34)

基于单片机的简单电子琴设计 摘要:电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音乐扮演着重要的角色,单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。本文主要对使用单片机设计简易电子琴进行了分析与设计,并介绍了基于单片机电子琴的系统硬件组成。该文设计是一种基于AT89C51的简单音乐发生器,利用单片机技术、键盘和一组发光二极、SPEARK等实现原理图设计,并用C51语言进行键盘识别程序设计和音频脉冲输出程序的设计。经过软件和硬件的联调,并仿真出来。该音乐发生器不仅能通过键盘弹奏出来简单的乐曲,而且不弹奏时按播放键可以播放置音乐,音调和节拍都由单片机控制实现。本系统运行稳定,其优点是硬件电路简单,软件功能完善,控制系统可靠,性价比较高等,具有一定的实用和参考价值。 关键词:单片机;键盘;扬声器;电子琴

基于单片机的简易电子琴设计课程设计

基于单片机的简易电子琴设计课程设计

湖南文理学院 课程设计报告 课程名称:单片机课程设计 专业班级:自动化10102班17号学生姓名:肖葵 指导教师:王南兰 完成时间:2013年 6 月13 日报告成绩: 湖南文理学院制

摘要 随着社会的发展进步,音乐逐渐成为人们生活中很重要的一部分,有人曾说喜欢音乐的人不会向恶。我们都会抽空欣赏世界名曲,作为对精神的洗礼。本论文设计一个基于单片机的简易电子琴。人们对于电子琴如何实现其功能,如音色选择、声音强弱控制、节拍器、自动放音功能等等也很好奇。 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音乐扮演着重要的角色,单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。本文的主要内容是用AT89S52单片机为核心控制元件,设计一个电子琴。以单片机作为主控核心,与键盘、扬声器等模块组成核心主控制模块,在主控模块上设有16个按键和扬声器。本系统运行稳定,其优点是硬件电路简单,软件功能完善,控制系统可靠,性价比较高等,具有一定的实用和参考价值。 关键词:AT89S51;音色节拍器;电子琴

ABSTRACT With the development of our society, music has become an important part of life. There’s a saying goes that people who likes music cannot be an evil. During our life, we often enjoy all kinds of music in the world to baptize our spirits. This thesis has designed a simple microcontroller-based electronic key board. We are curious about the foundation of electronic keyboard, such as the choice of timber, the control of volume, the metrononme and automatic playback. The keyboard is a product of modern electronic technology combined with music, it is a new type of keyboard instruments. And it plays an important role in modern music. Single chip has a powerful control functions and flexible programming characteristics. It has converged with modern people's lives, become an irreplaceable part. The main content is AT89S51 control of the core components, Design of an electronic organ, single chip as a host to the core, with the keyboard, speakers and other core modules main control module, in the main control module has 16 keys and speakers. Stability of the system, its advantages are simple hardware circuits, software functions, control system reliability, high cost performance and have certain practical and reference value. Key words : single chip MCU keyboard speaker electronic organ

(数字信号发生器+电子琴)实验报告

实验一数字信号发生器和电子琴制作 一、实验目的 1.熟悉matlab的软件环境,掌握信号处理的方法,能在matlab的环境下完成对 信号的基本处理; 2.学会使用matlab的GUI控件编辑图形用户界面; 3.了解matlab中一些常用函数的使用及常用运算符,并能使用函数完成基本的 信号处理; 二、实验仪器 计算机一台,matlab R2009b软件。 三、实验原理 1.数字信号发生器 MATLAB是矩阵实验室(Matrix Laboratory)的简称,用于算法开发、数据可视化、数据分析以及数值计算的高级技术计算语言和交互式环境,主要包括MATLAB 和Simulink两大部分。 已知的常用正弦波、方波及三角波,可以通过matlab自带的函数实现,通过改变函数的幅值、相位和频率可以得到不同的信号。 正弦信号:y=A*sin(2*pi*f*t); 方波信号:y=A*square(2*f*pi*x+c); 三角波信号:y=A*sawtooth(2*pi*f*x+c); 2. 电子琴 电子琴的每个音阶均对应一个特定频率的信号,通过调用数字信号发生器产生一系列指定的频率的声音,从而达到虚拟的电子琴的功能。界面中包含1、2、…、7共 7 个琴键,鼠标按下时即发声,松开时发声停止。同时能够产生正弦波、方波、三角波等常见的波形的数字信号,然后将数字信号写入声卡的缓冲区,最后由声卡播放出相应的声音。 已知音乐的七个音阶的主频率分别是131Hz、147Hz、165Hz、175Hz、196Hz、220Hz和247Hz,分别构造正弦波、方波和三角波,可以组成简单的电子琴。

四、实验内容 1.数字信号发生器的制作 (1)搭建GUI界面 图形用户界面(Graphical User Interface,简称GUI,又称图形用户接口)是指采用图形方式显示的计算机操作用户界面。与早期计算机使用的命令行界面相比,图形界面对于用户来说在视觉上更易于接受。 Matlab环境下的图形用户界面(GUI)是由窗口、光标、按键、菜单、文字说明等对象(Objects)构成的一个用户界面。用户通过一定的方法(如鼠标或键盘)选择、激活这些图形对象,使计算机产生某种动作或变化,比如实现计算、绘图等。MATLAB的用户,在指令窗中运行demo 打开那图形界面后,只要用鼠标进行选择和点击,就可产生丰富的内容。 利用GUI控件中自带的按钮,根据需要组成如下图1所示的数字信号发生器的Gui界面。 图1 数字信号发生器的GUI界面

简易电子琴课程设计

课程设计任务书学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 简易电子琴电路的设计仿真与实现 初始条件: 可选元件:集成运算放大器LM324、电阻、电位器、电容若干,直流电源,或自备元器件。 可用仪器:示波器,万用表,直流稳压源,函数发生器 要求完成的主要任务: (1)设计任务 根据要求,完成对简易电子琴电路的仿真设计、装配与调试,鼓励自制稳压电源。 (2)设计要求 ①设计一简易电子琴电路,按下不同琴键即改变RC值,能发出C调的八个基本音阶,采用运算放大 ②选择电路方案,完成对确定方案电路的设计。 ③利用Proteus或Multisim仿真设计电路原理图,确定电路元件参数、掌握电路工作原理并仿真实现系 统功能。 ④安装调试并按规范要求格式完成课程设计报告书。 ⑤选做:利用仿真软件的PCB设计功能进行PCB设计。 时间安排: 1、前半周,完成仿真设计调试;并制作实物。 2、后半周,硬件调试,撰写、提交课程设计报告,进行验收和答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.模电课设概述 (1) 1.1设计背景 (1) 1.2设计目的及意义 (1) 1.3开发环境proteus简介 (1) 2.电路原理 (3) 2.1 RC桥式振荡电路及频率选择 (3) 2.2振荡条件 (4) 3.总体方案设计 (5) 3.1实验电路设计思路 (5) 3.2设计电路图 (6) 3.3实验参数选择 (6) 4.仿真曲线及结果分析 (7) 4.1仿真操作过程及曲线 (7) 4.2仿真结果分析 (14) 5.实物制作及仿真、实物的差异 (15) 5.1实物制作过程和调试过程 (15) 5.2 仿真、实物的差异 (16) 6.心得体会 (17) 7.元件清单 (18) 8.参考文献 (19)

杭州电子科技大学数电大作业实验报告电子琴

数电大作业实验报告如图是CODE3的case语句程序,该模块是一个编码器,即将输入的8位琴键信号进行编码,输出一个4位码,最多能对应16个音符(若有16个键)。 如图所示是INX2CODE的case语句程序,该模块是一个译码器,它将来自键盘输入的编码信号译码成数控分频器SPK0输出信号的频率控制字。 另外两个模块是M_CODE和DCD7SG,它们的case语句程序如上图所示。前者的功能是将来自CODE3的键盘编码译成简谱码和对应的音调高低值H,后者是一个数码管7段显示译码器,负责将简谱码译成数码管的显示信号。 如图所示是SPK0模块的内部结构。其中的计数器CNT11B是一个LPM宏模块,这是一个11位二进制加法计数器。在设置其结构参数时,应该选择同步加载控制,即sload(Synchronous Load),这样能较好地避免来自进位信号cout中可能的毛刺影响。异步加载aload极易受到随机窄脉冲的误触发,在此类电路中不宜采用。图中D触发器和反相器的功能是将用于控制加载的进位信号延迟半个时钟周期,一来也是为了滤除可能的毛刺,以免对加载更为可靠,因为这时,时钟上升沿正好处于加载脉冲的中点。 模块CODE3,INX2CODE和SPK0的主要工作过程是这样的: 当按琴键后,产生的数据经编码器获得一个编码(例如,当按下第二个键,对应0010,即2),它对应模块INX2CODE中的一个值(2对应390H)。当这个值(如390H)被置入模块SPK0中的11位可预置计数器中后。由于计数器的进位端与预置数加载段端相连,导致此计数器将不断以此值作为计数起始值,直至全1。

以下以预置值为390H为例,来计算SPK0输出信号的频率值。 当以390H为计数器起始值后,此计数器成为一个模(7FFH-390H=46FH=1135)的计数器。即每从CLK端输入1135个脉冲,BEEP端输出一个进位脉冲。由于输入的时钟频率是1MHz (周期是1us),于是BEEP输出的信号频率是1/(1135us)=841Hz。 由下面电子琴的顶层电路可见,SPK0的输出信号经过一个由D触发器接成的T’触发器后才输出给蜂鸣器。这时信号被作了二分频,于是,预置值390H对应的与蜂鸣器发音的基频F 约等于440Hz。 B 电子琴顶层电路中T’触发器有两个功能,一个作用是作二分频器;另一个作用是作为占空比均衡电路。这是因为由SPK0模块输出信号的脉宽极窄,功率极低,无法驱动蜂鸣器,但信号通过T’脉宽就均匀了(F 的占空比为50%)。 B 如图所示是电子琴顶层设计电路,含2个输入口和3个输出口。 1.工作时钟CLK,频率:1MHz。用于在主控模块中产生与琴键对应的振荡频率,以驱动蜂 鸣器发出相应的声音。 2.琴键输入DIN[7..0].8个音符,8位中只能有一位为0,即8个琴键中每一时刻只能按 一个键。 3.输出端口SPK0用于驱动蜂鸣器。 4.输出信号LED接数码管,用于显示对应的简码谱。H显示音高低。

基于FPGA的简易电子琴设计

课程设计任务书

开题报告

皖西学院本科毕业论文(设计)中期检查表

简易电子琴的设计 学生姓名:王春指导老师:郑大腾 摘要 本系统是采用EDA技术设计的一个简易的八音符电子琴,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。多功能电子琴的设计是在原有普通电子琴的基础上进行扩充的一个设计。该电子琴的设计大体可以由三个模块构成,分别是电子琴发声模块、存储器模块和选择控制模块。用超高速硬件描述语言VHDL编程可以实现各个模块的功能。不仅能实现弹琴和演奏的功能,它还能实现“复读”的功能,就是可以存储任意一段音乐,并且可以即时的播放出来。系统实现是用硬件描述语言VHDL 按照模块化方式进行设计,然后进行编程、时序仿真、总体整合。本系统的功能比较齐全,有一定的现实使用的价值。本文中介绍了电子琴系统的整体的设计,并基于超高速硬件描述语言VHDL在相关的芯片上编程实现的。 关键字 电子琴;EDA;VHDL;音调发生;现场可编程逻辑器件FPGA;超高速硬件描述语言VHDL;电子琴系统; Abstract This system is designed using EDA technology a simple eight-note keyboard, the system clock divider based on the principle of the computer, using top-down design methodology to implement, it can be controlled through the key input audio. Multi-function keyboard is designed to be an ordinary keyboard in the original expansion on the basis of a design. The design of the keyboard in general consists of three modules, namely the keyboard sound

简易电子琴的设计与仿真.

proteus 7的使用及调试 定时器、中断、键盘接口数字电路、模拟电路的相关知识2、实现及编程 2.1程序框图 ) 2.2电路原理图

2.3程序内容 7个音调的产生方法 按k2让音调逆向输出 如何按k8+k2放出音乐和如何 让音乐停止 2.4汇编源程序 BUZZ EQU P2 BUZZ1 EQU P1.7 ORG OOOOH LJMP MAIN ORG 000BH LJMP INT_T0 ORG 001BH LJMP TIME1 ORG 8000H MAIN: MOV SP,#90H MOV BUZZ,#0FFH MOV TMOD,#11H SETB ET0 SETB ET1 SETB EA CLR TR0 START: MOV R0, BUZZ CJNE R0,#0FFH,KEY1 CLR TR0 SJMP START KEY1: CJNE R0,#0FEH,KEY2 MOV 30H,#0FBH MOV 31H,#0E9H LJMP SET_TIMER KEY2: CJNE R0,#0FDH,KEY3 MOV 30H,#0FCH MOV 31H,#5CH LJMP SET_TIMER KEY3: CJNE R0,#0FBH,KEY4 MOV 30H,#0FCH MOV 31H,#0C1H LJMP SET_TIMER KEY4: CJNE R0,#0F7H,KEY5 MOV 30H,#0FCH MOV 31H,#0EFH LJMP SET_TIMER KEY5: CJNE R0,#0EFH,KEY6 MOV 30H,#0FDH MOV 31H,#045H LJMP SET_TIMER KEY6: 囉* 祖 1IU ■□■1l ? ■CkUJUfiia ▼"■VZ AfiJkAAl ■E * F Wi -54 ■U A JM "bn iunTb —- P XF

实验报告 电子琴.

[设计题目] [设计要求] 数电设计实验报告八音电子琴 1.能发出1.2.3.4.5.6.7.i八个音 2.用按键作为键盘 3.C调至B调对应频率如下表 音调 C(高音) B A G F E D C 频率(Hz) 261.63x2 493.88 440.00 392.00 349.23 329.63 293.66 261.63 [实验电路设计] 1.设计过程 构思< 1>制作分频器 利用三片十进制计数器74LS160连接成适用的分频器. 假设分频器的时钟信号选取为187.5KHz.然后通过计算,用时钟信号频率除以各发音频率,得到的分频比如下表: 分频比 358 380 426 478 536 频率(Hz) 高C:261.63x2 B:493.88 A:440.00 G:392.00 F:349.23 569 638 717 E:329.63 D:293.66 C:261.63 用T触发器驱动扬声器,因此最终确定选择的时钟信号为375KHz. 设计图如下 : 由于这个方法所用芯片更多而且电路太过复杂,而且成本较高,所以构想另外的思路. 构思< 2>555计时器组成多谐振荡器,设计图如下:

利用一片555和若干电阻组成多谐振荡器,利用电容的充放电过程输出周期性的矩形波再通过三极管放大驱动蜂鸣器. [硬件测试] 接通电源后蜂鸣器先会一直蜂鸣一段时间,停止后可以接通各开关使蜂鸣器工作,蜂鸣器经常会无故一直蜂鸣,再按几次开关就又恢复正常,经过检查未发现原因出在何处.电路基本功能可以实现,可能是由于电路抖动使电路不稳出现一直蜂鸣的现象. [设计心得] 第一感觉,数字电子技术设计很有意思.我们可以有充分的时间去思考怎么做出一个东西,这个东西的用处也许不大甚至几乎没有,但重要的是思考的过程:从它的用途总结出它的特性,从它的特性构思出它的原理,从原理到构建模型,再到模型的实现,利用已有的知识,可用的元件,最终组合出一个具有高度逻辑性的组合电路,这和我们小时候玩 搭积木差不多.把积木一块块的搭成一座城堡,中间缺少任何一层甚至任何一块,城堡都可能会倒塌.同理,在我们构建命题所给的元器件时任何一个逻辑错误都可能是致命的,导致最后无法出现正确结果或者干脆不能用. 而焊接的方法和效率也是非常重要的,我一开始实用了一个生锈的电烙铁,以至于焊接一个点都非常困难,后来更换了新的电烙铁以后,焊接的效率以及质量都明显提高了.所以,好的工具是非常必要的.虽然这一个设计比较简单,但是也是花了两天时间才把基本电路焊接完毕,由此看来我的焊接手艺还是非常一般的.而在测试电路过程中发现,蜂鸣器会偶尔不规则的蜂鸣,这可能是电路设计上的缺陷,重新焊接一遍问题仍然存在,由于时间紧迫此问题一直没有得到解决.

课程设计简易电子琴

课程设计 课程名称 题目名称 专业班级 学生姓名 学号 指导教师 二○--年月日

目录 1 设计任务书 (1) 2 电路总体设计 (2) 3 各部分电路设计 (3) 3.1 R、C选频网络电路的设计 (3) 3.2 波形产生电路 (4) 4 Multisim仿真与数据分析 (7) 5总结 (9) 6致谢 (10) 参考文献 (11) 附录A 总原理图 (12) 附录B 系统元器件清单 (13)

1 设计任务书 设计目的 (1)熟悉数字电子技术课程设计的方法和思想 (2)熟悉仿真软件Multisim使用 (3)进一步理解555多谐振荡器在设计过程中的使用 (4)熟悉555多谐振荡器的应用 (5)熟悉简易电子琴的设计方法和过程 设计思路 (1)先查询简易电子琴的七个音(各包括低、中、高三个音)的频率 (2)再设置充电电阻和固定电容,根据公式算出每个音阶对应电阻的阻值,从而确定R C选频网络电路。 (3)用多谐振荡器产生矩形脉冲驱动蜂鸣器发出不同声音 (4)用仿真软件中的虚拟仪器示波器和频率计测量每一个音阶的波形和频率。

2 电路总体设计 本电路主要是由RC选频网络电路和555定时器构成的多谐振荡器组成。因为设计要实现电子琴dou、ruai、mi、fa、suo、la、si七个音的发声。而每一个音都对应一个频率和电阻,所以通过设计不同的电阻和电容组成R、C选频电路。每一个频率经过多谐振荡器都会产生一个矩形脉冲。因为是在仿真软件中无法实现电子琴发声,采用示波器测量矩形波形和用频率计测量每一个选频网络中对应的每一个频率,再和实际数据相比较来判定发出的声音是否准确。该电路实现了dou、ruai、mi、fa、suo、la、si(各包括低、中、高三个音)的发声仿真。实现了简易电子琴的设计。电路整体框图如下: 图2.1 基本方框图 该电路具有原理简单、容易制作、调试方便等特点。能实现二十一种频率的方波且能驱动喇叭C调的二十一个音阶。其中,稳压电源可以由电脑提供。

单片机电子琴实验报告修订版

单片机电子琴实验报告 修订版 IBMT standardization office【IBMT5AB-IBMT08-IBMT2C-ZZT18】

单片机及DSP课程设计报告 专业:通信工程 班级: 姓名: 学号: 指导教师:李贺 时间:2015-06-22~2015-07-03 通信与电子工程学院 基于单片机的电子琴设计 一、课设的目的及内容 本设计主要是用单片机为核心控制元件,设计一台电子琴。以单片机作为主控核心,与键盘、蜂鸣器、数码管等模块组成核心主控制模块,在主控模块上设有7个按键和1个复位按键。本系统主要是完成的功能:电子琴弹奏并显示所按的按键对应音的唱名。关于声音的处理,使用单片机C语言,利用定时器来控制频率,而每个音符的符号只是存在自定义的表中。 总之,本设计的电子琴有以下要求: (1)用键盘作出电子琴的按键,共7个,每键代表1个音符。各音符按照符合电子琴的按键顺序排列; (2)达到电子琴的基本功能,可以用弹奏出简单的乐曲; (3)在按下按键发出音符的同时显示出音符所对应的唱名

即1(dao)、2(ruai)、3(mi)、4(fa)、5(sao)、6(la)、7 (xi)。 二、问题分析、解决思路及原理图 本系统采用STC89C52RC为主控芯片,因其精度较高,操作比较灵活,输入电路和输出电路由芯片来进行处理,电路的系统的稳定性高,功耗小。其中,输入电路有7个独立按键,通过按键随意按下所要表达的音符,作为电平送给主体电路,中央处理器通过识别,解码输出音符,在蜂鸣器中发出有效的声音。由于需要显示的信息不多,显示电路未采用液晶屏显示,而是使用数码管显示电路负责显示按下的琴键所对应音符的唱名,这样既节省了成本,又降低了编程难度。 图1 如图1所示基于单片机STC89C52RC的电子琴电路,它主要由琴键控制电路、数码管显示电路、音频功放电路和时钟-复位电路四部分所构成。 三、硬件设计 (一)琴键控制电路 琴键控制电路作为人机联系的输入部分,也是间接控制数码显示和音频功放的重要组成部分。本设计采用独立式键盘的思路。 独立式键盘的特点是一键一线,各键相互独立,每个键各接一条I/O口线,通过检测I/O输入线的电平状态,可判断出被按下的按键。? 显而易见,这样电路简单,各条检测线独立,识别按下按键的软件编写简单。 适用于键盘按键数目较少的场合,不适用于键盘按键数目较多的场合,因为将占用较多的I/O口线。? 独立式键盘的7个独立按键分别对应一个I/O口线,当某一按键按下时,对应的检测线就变成了低电平,与其它按键相连的检测线仍为高电平,只需读入I/O输入线的状态,判别哪一条I/O输入线为低电平,很容易识别哪个键被按下。

简易电子琴课程设计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 简易电子琴电路的设计仿真与实现 初始条件: 可选元件:集成运算放大器LM324、电阻、电位器、电容若干,直流电源,或自备元器件。 可用仪器:示波器,万用表,直流稳压源,函数发生器 要求完成的主要任务: (1)设计任务 根据要求,完成对简易电子琴电路的仿真设计、装配与调试,鼓励自制稳压电源。 (2)设计要求 ①设计一简易电子琴电路,按下不同琴键即改变RC值,能发出C调的八个基本音阶,采 用运算放大器构成振荡电路,用集成功放电路输出。已知八个基本音阶在C调时所对 ②选择电路方案,完成对确定方案电路的设计。 ③利用Proteus或Multisim仿真设计电路原理图,确定电路元件参数、掌握电路工作原 理并仿真实现系统功能。 ④安装调试并按规范要求格式完成课程设计报告书。 ⑤选做:利用仿真软件的PCB设计功能进行PCB设计。 时间安排: 1、前半周,完成仿真设计调试;并制作实物。 2、后半周,硬件调试,撰写、提交课程设计报告,进行验收和答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.模电课设概述 (1) 1.1设计背景 (1) 1.2设计目的及意义 (1) 1.3开发环境proteus简介 (1) 2.电路原理 (3) 2.1 RC桥式振荡电路及频率选择 (3) 2.2振荡条件 (4) 3.总体方案设计 (5) 3.1实验电路设计思路 (5) 3.2设计电路图 (6) 3.3实验参数选择 (6) 4.仿真曲线及结果分析 (7) 4.1仿真操作过程及曲线 (7) 4.2仿真结果分析 (14) 5.实物制作及仿真、实物的差异 (15) 5.1实物制作过程和调试过程 (15) 5.2 仿真、实物的差异 (16) 6.心得体会 (17) 7.元件清单 (18) 8.参考文献 (19)

推荐-AT89C52单片机的简易电子琴设计 2 精品

滨江学院 毕业 题目简易电子琴设计

声明 本人郑重声明: 1、持以“求实、创新”的科学精神从事研究工作。 2、本是我个人在导师指导下进行的研究工作和取得的研究成果。 3、本中除了引文外,所有实验、数据和相关材料均是真实的。 4、本中除引文和致谢的内容外,没有抄袭其他人或其他机构已经发 表或撰写过的研究成果。 5、其他同志对本研究所做的贡献均已在中作了声明并表示了谢意。 作者签名: 日期:

目录

简易电子琴设计 张盛杰 南京信息工程大学滨江学院09电子信息工程专业,南京 210044 摘要:本主要基于AT89C52单片机进行的简易电子琴设计。通过LCD显示屏、喇叭、键盘等外部器件,使用按键实现各种音调和音符的输入,LCD显示屏进行被操作的按键显示,用放大电路实现低音频信号的功率放大,最后经过调试用喇叭播放相关音乐。本设计的优点是安全,硬件电路比较简单,操作起来便捷容易上手。 关键词:单片机;电子琴;LCD显示屏 1.引言 电子技术伴随着现代社会科学技术的快速发展,它已经改变了人们生活的各个方面,电子琴作为一种新型的的键盘乐器是音乐与现代电子科学技术发展相结合的产物,虽然没有其它乐器那么多鲜明的特点,但是它的简单易学已经让它在现代音乐中占到了一个很重要的位置。而单片机具有强大的编程实现特性和灵活的控制功能,它成为了电子琴中不可替代的重要一部分,本设计的主要任务是用AT89C52单片机作为核心控制元件,设计一个简易电子琴,主要介绍了电子琴硬件系统的组成并对相关软件程序方面做了相关的分析研究和调试。 虽然单片机产生的时间并不是太长,但它的发展速度令人惊讶,它的高水平发展在集成度、可靠性、速度、应用等领域表现的淋漓精致。随着单片机性能的不断提高,它的应用变得更加广泛,目前它已用于工业控制、机电一体化设备、仪器仪表、信号处理、现代兵器、交通能源、商用设备、医疗设备及家用电器等多个领域。单片机技术的发展速度非常之快,目前的产品都致力于在各个功能方面进行更加全面的设计研究;在社会消费的需求竞争之下,尽可能地利用单片机的最新技术来研制其应用系统,再利用单片机灵便、性价比高等特点,来确保所设计出来的产品能够具有强大的竞争力和存活力。在社会活动的各个方面中,始终都有单片机的身影;从简易的到复杂的,各个地点,凡是能看到的地方几乎都有使用单片机的需求。虽然现在单片机供应的应用已经普及开来了,但还是有许多单片机尚未涉足到的地方,因此,单片机的应用和需求在现在现代社会的运用中还是有发展的。 电子琴在当前的音乐玩具市场上是一个非常好的应用方面。单片机技术使我们可以利用软硬件开发出电子琴功能,从而实现电子琴的微型化,可以用作教学琴、玩具琴等。还可以对相关功能作出一些拓展。参照传统电子琴可以用键盘上的“k0”到“k8”键演奏从低So到高DO等8个音,从而可以用来弹奏喜欢的乐曲。

(完整版)基于51单片机简易电子琴的设计

电子琴的设计 1 课题背景 单片微型计算机室大规模集成电路技术发展的产物,属于第四代电子计算机它具有高性能、高速度、体积小、价格低廉、稳定可靠、应用广泛的特点。他的应用必定导致传统的控制技术从根本上发生变革。因此,单片机的开发应用已成为高科技和工程领域的一项重大课题。 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音乐扮演重要的角色,单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。本文的主要内容是用AT89S52单片机为核心控制元件,设计一个电子琴。以单片机作为主控核心,与键盘扬声器等模块组成核心主控制模块,在主控模块上设有8个按键,和一个复位按键。 主要对使用单片机设计简易电子琴进行了分析,并介绍了基于单片机电子琴硬件的组成。利用单片机产生不同频率来获得我们要求的音阶,最终可随意弹奏要表达的音符。并且分别从原理图,主要芯片,个模块原理及各莫奎的程序的调试来详细阐述。 一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,构成我们想演奏的那首曲目。当然对于单片机来产生不同的频率非常方便,我们可以利用单片机的定时/计数器T0来产生这样的方波频率信号,因此,我们只要把一首歌曲的音阶对应频率关系编写正确就可以达到我们想要的曲目。一. 任务要求与总体设计方案 1.1 设计任务与要求 利用所给键盘的1,2,3,4,5,6,7,8八个键,能够发出7个不同的音调,而且有一个按键可以自动播放歌曲,要求按键按下时发声,松开延时一小段时间,中间再按别的键则发另外一音调的声音,当系统扫描到键盘按下,则快速检测出是哪一个按键被按下,然后单片机的定时器启动,发出一定频率的脉冲,该频率的脉冲经喇叭驱动电路放大滤波后,就会发出相应的音调。如果在前一个按下的键发声的同时有另一个按键被按下,则启动中断系统。前面的发音停止,转到后按的键的发音程序。发出后按的键的音调。 1.2 设计方案 1.2.1 播放模块 播放模块是由喇叭构成,它几乎不存在噪声,音响效果较好,而且由于所需驱动功率

简易电子琴实验报告

北京邮电大学 课题名称:简易电子琴的设计和制作学院:信息与通信工程学院 专业:信息工程 班级:2014211126 姓名:李家威 学号:2014210691 班内序号:10

指导老师:王丹志 一、摘要及关键字 本课程设计以制作出一个简易电子琴为最终目的。该电子琴以NE555为核心,通过公式计算不同频率按键对应的阻值来实现不同的音调,然后通过运算放大器将信号放大并通过喇叭发出声音。由此设计仿真电路图,选择合适器件进行电路搭建,并进行调试直至达到要求,最后进行数据统计。 关键字:电子琴振荡电路运算放大器 二、设计任务及要求 了解由555定时器构成简易电子琴的电路及原理。设计并利用NE555集成运算电路以及外加电阻,电容在第一级产生不同频率的音乐,再利用LM386功率放大电路对音乐信号进行放大,最后通过扬声器产生21个音符。

三、设计思路、总体结构框图 设计思路 555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成施密特触发器、单稳态触发器及多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。由555定时器电路组成的多谐振荡器,它的振荡频率可以通过改变振荡电路中的RC原件的数值进行改变。根据这一原理,通过设定一些不同的RC数值并通过控制电路,按照一定的速度依次将不同的RC组件接入振荡电路,就可以使振荡电路按照设定的要求,有节奏的发射已设定的音频信号与音乐。

总体结构框图 四、分块电路和总体电路的设计分块电路: 琴键端(开关、电阻)

模电课程设计简易电子琴的设计

1. 模电课设概述 现在的电子琴一般使用PCM或AWM采样音源。所谓采样就是录制乐器的声音,将其数字化后存入ROM里,然后按下键时CPU回放该音。甚至有一些高级编曲键盘可以使用外置采样(比如Tyros 3的硬盘音色)。现代电子琴并非“模仿”乐器音色。它使用的就是真实乐器音色。当然,现在力度触感在电子琴里是必备的。而且现代电子琴还加上了老式电子琴的滤波器,振荡器,包络线控制来制造和编辑音色。甚至也带上了老式电子琴的FM 合成机构。 本次课程设计主要是通过对电子琴主体部分的电路进行模仿设计,按下不同琴键改变RC值,发出C调的八个基本音阶,采用运算放大器构成振荡电路,用集成功放电路输出音调,从而达到电子琴固有的基本功能。 2. Proteus软件简介 Proteus软件是由英国LabCenter Electronics公司开发的EDA工具软件,由ISIS和ARES两个软件构成,其中ISIS是一款便捷的电子系统仿真平台软件,ARES是一款高级的布线编辑器,它集成了高级原理布线图、混合模式SPICE电路仿真、PCB设计以及自动布线来实现一个完整的电子设计。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。 Proteus软件的模拟仿真直接兼容厂商的SPICE模型,采用了扩充的SPICE3F5电路仿真模型,能够记录基于图表的频率特性、直流电的传输特性、参数的扫描、噪声的分析、傅里叶分析等,具有超过8000种的电路仿真模型。 Proteus软件支持许多通用的微控制器,如PIC、AVR、HC11以及8051;包含强大的调试工具,可对寄存器、存储器实时监测;具有断点调试功能及单步调试功能;具有对显示器、按钮、键盘等外设进行交互可视化仿真的功能。此外,Proteus可对IAR C-SPY、KEIL 等开发工具的源程序进行调试。 此外,在Proteus中配置了各种虚拟仪器,如示波器、逻辑分析仪、频率计,便于测量和记录仿真的波形、数据。 3. 简易电子琴基本原理 3.1 音乐产生原理 由于一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我

电子琴设计制作完整实验报告

简易电子琴的设计和制作实验报告 撰写人: 学号:2015210079 指导老师:张君毅 院系:信息与通信工程学院 单位名称:北京邮电大学 撰写时间:二零一七年三月二十七日

目录 一、设计任务与要求 1.1设计任务与要求 1.2选题目的与意义 二、设计和电路 2.1设计思路 2.2 总体结构框图 2.3 分块电路和总体电路的设计 三、实现功能 3.1 已完成的功能 3.2 主要的测试数据必要的测试方法 3.3 必要的测试方法 四、故障及问题分析 五、总结和结论 六、器件清单 七、仿真原理图及波形图 八、参考文献 九、附件 9.1《模拟综合实验过程考核统计表》 9.2《实验频率及功率记录表》

摘要 本实验以设计并制作出简易电子琴为目的,通过对电子琴信号的产生和功率放大方面的研究和实践,可对实际电子琴的音色、音质方面的改进起到一定的探索和促进作用。在这个实验中,我们需要建立系统的概念,培养综合应用电子电路及知识的能力,学习小型电子系统的设计、安装和调试方法。最终需要培养我们的工程实践技能和排除故障的能力。我通过该试验完成了简易电子琴的设计与制作,达到了实验要求的频率和功率,并想法设法改良了音色,最终的实验效果不错。 关键词:简易电子琴、运算放大电路、多谐振荡器、频率 一、设计任务与要求 1.1 设计任务与要求 了解由555定时器构成简易电子琴的电路及原理。设计并利用NE555集成运算电路以及外加电阻,电容在第一级产生不同频率的音乐,再利用LM386功率放大电路对音乐信号进行放大,最后通过扬声器产生21个音符。 基本要求:能演奏两个八度音阶。 提高要求:将音阶扩大到再降八度,进一步提高输出功率到0.5w。 1.2 选题的目的与意义 (1)培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产实际知识去分析和解决工程问题的能力。 (2)学习较复杂的电子系统设计的一般方法,了解和掌握模拟,数字电路等知识解决电子信息方面常见实际问题的能力。 (3)学习调试电子电路的方法,提高实际动手能力。了解由555定时器构成简易电子琴的电路及原理。

简易电子琴电路课程设计(模拟电路)

逗你玩 课程设计报告 课程名称:模拟电子技术课程设计 专业班级:电子信息工程(2)班 学生学号: 0705110931 学生姓名:夏柳 所属院部:信息技术学院 指导教师:王雪 20 08 ——20 09 学年第 2 学期

《模拟电子技术》课程设计报告 --------简易电子琴的制作 简易电子琴电路 摘要: 本课程设计以制作一个简易电子琴为最终结果,主要以硬件测试为主。首先进行电路分析,设计电路图,其次考虑所有可能出现的问题,完善电路图,再选择合适的器件,最后按照电路图线路搭试,调试测试,直至达到理想的目标。当然在这之前对焊点等要事先查阅资料,了解手工焊接技术;查阅有关4100芯片,741芯片的功能等参数,还有测试其芯片是否好坏的电路和方法;同时还要了解RC振荡电路,与其产生振荡的条件跟原理,选择稳幅电路,理解其稳幅的原理;当然还要计算八个音阶的产生的频率,再根据RC振荡电路计算电阻值,以便选择合适的电阻,这些都是课前准备。测试电子琴我们要一步一步的,首先是振荡电路的线路测试,其次选频电路的测试,功放电路的测试,最后再是总体测试,尽量消除噪音,使音质能够很清晰。这样电子琴我们就做好了。 关键图:

电子琴的主干图

第一部分:课前准备 1.1芯片性能指标 1.2手工焊接技术 1.3元件制作工艺 第二部分:设计方案及选定 2.1八个音阶的频率 2.2振荡电路的选择与设计 2.3八个电阻的选择 2.4稳幅方式的选择 2.5功率放大电路的设计 第三部分:简易电子琴电路的检测与误差分析 3.1芯片测试 3.2振荡电路测试 3.3电子琴的测试 第四部分:元器件清单 第五部分:心得体会 第六部分:参考文献

相关主题
文本预览
相关文档 最新文档