当前位置:文档之家› LED显示屏驱动电路设计

LED显示屏驱动电路设计

LED显示屏驱动电路设计
LED显示屏驱动电路设计

摘要

LED显示屏具有使用寿命长、响应速度快、可视距离远、规格品种多、数字化程度高、亮度高等特点,在信息显示领域已经得到了非常广泛的应用。它利用发光二极管构成的点阵模块或像素单元,组成大面积显示屏。其显示方法有静态显示和动态扫描显示。动态扫描显示耗用硬件资源少,但软件要不断处理,耗CPU。静态显示虽然软件简单但硬件价格稍贵。LED显示屏主要包括发光二极管构成的阵列、驱动电路、控制系统及传输接口和相应的应用软件。而驱动电路设计的好坏,对LED显示屏的显示效果、制作成本及系统的运行性能起着很重要的作用。

本文介绍了点阵式电子显示屏的硬件电路设计原理与软件设计方案,采用51系列单片机芯片,得到了一个能同时显示8个汉字16×16的LED点阵式电子显示屏。

关键词:LED显示屏动态扫描AT89S52 74HC595

ABSTRACT

The LED display monitor has the long of service life, quacking response speed, the far of it’s visual range , many specification variety, high of the digitized, the brightness higher characteristic. It in the information demonstrated the domain already obtained the extremely widespread application. It lattice module or picture element unit which constitutes using the light emitter, composes the big area display monitor. It’s demonstration method has the static demonstration and the dynamic scanning demonstration. The dynamic scanning demonstration consumes the hardware resources to be few, but the software must process unceasingly, and consumes CPU. Although the static state software for display is simple, the hardware price is slightly expensive. The LED display monitor mainly include the array which the light emitter diode constitutes , actuates the electric circuit ,the control system and the transmission connection and the corresponding application software. But actuates the circuit design the quality, to the LED display monitor demonstration effect, the manufacture cost and the system performance characteristic is playing the very vital role.

Key words: LED display monitor Dynamic scanning AT89S52 74HC595

目录

第一章绪论

LED显示屏是一种可直接播放电视、录像、VCD等视频信号及显示文字、图像的公众信息显示屏。随着信息产业的发展,显示屏近年来发展迅猛。

一、LED显示屏的简介

(1)什么是LED显示屏

LED显示屏(LED panel):LED就是light emitting diode ,发光二极管的英文缩写,简称LED。它是一种通过控制半导体发光二极管的显示方式,其大概的样子就是由很多个通常是红色的小灯组成,靠灯的亮灭来显示字符。用来显示文字、图形、图像、动画、行情、视频、录像信号等各种信息的显示屏幕。

LED显示屏分为图文显示屏和视频显示屏,均由LED矩阵块组成。图文显示屏可与计算机同步显示汉字、英文文本和图形;视频显示屏采用微型计算机进行控制,图文、图像并茂,以实时、同步、清晰的信息传播方式播放各种信息,还可显示二维、三维动画、录像、电视、VCD节目以及现场实况。LED显示屏显示画面色彩鲜艳,立体感强,静如油画,动如电影,广泛应用于金融、税务、工商、邮电、体育、广告、厂矿企业、交通运输、教育系统、车站、码头、机场、商场、医院、宾馆、银行、证券市场、建筑市场、拍卖行、工业企业管理和其它公共场所。

LED显示屏可以显示变化的数字、文字、图形图像;不仅可以用于室内环境还可以用于室外环境,具有投影仪、电视墙、液晶显示屏等无法比拟的优点。LED之所以受到广泛重视而得到迅速发展,是与它本身所具有的优点分不开的。这些优点概括起来是:亮度高、工作电压低、功耗小、小型化、寿命长、耐冲击和性能稳定。LED的发展前景极为广阔,目前正朝着更高亮度、更高耐气候性、更高的发光密度、更高的发光均匀性,可靠性、全色性方向发展。

(2)LED显示屏的分类

1、按颜色基色可以分为

单基色显示屏:单一颜色(红色或绿色)。

双基色显示屏:红和绿双基色,256级灰度、可以显示65536种颜色。

全彩色显示屏:红、绿、蓝三基色,256级灰度的全彩色显示屏可以显示一千六百多万种颜色。

2、按显示器件分类

LED数码显示屏:显示器件为7段码数码管,适于制作时钟屏、利率屏等,显示数字的电子显示屏。

LED点阵图文显示屏:显示器件是由许多均匀排列的发光二极管组成的点阵显示模块,适于播放文字、图像信息。

LED视频显示屏:显示器件是由许多发光二极管组成,可以显示视频、动画等各种视频文件。

3、按使用场合分类

室内显示屏:发光点较小,一般Φ3mm--Φ8mm,显示面积一般几至十几平方米。

室外显示屏:面积一般几十平方米至几百平方米,亮度高,可在阳光下工作,具有防风、防雨、防水功能。

4、按发光点直径分类

室内屏:Φ3m m、Φ3.75mm、Φ5mm、

室外屏:Φ10mm、Φ12mm、Φ16mm、Φ19mm、Φ20mm、Φ21mm、Φ2 2mm、Φ26mm

室外显示屏发光的基本单元为发光筒,发光筒的原理是将一组红、绿、蓝发光二极管封在一个塑料筒内共同发

5.显示方式有静态、横向滚动、垂直滚动和翻页显示等。单块模块控制驱动12块(最多可控制24块)8X8点阵,共16X48点阵(或32X48点阵),是单块MAX7219(或PS7219、HD7279、ZLG7289及8279等类似LED显示驱动模块)的12倍(或24倍)!可采用“级联”的方式组成任意点阵大显示屏。显示效果好,功耗小,且比采用MAX7219电路的成本更低。

二、LED显示屏的发展趋势

现代信息社会中,作为人与机信息视觉传播媒体的显示产品和技术得到迅速发展,进入二十一世纪的显示技术将是平板显示的时代,LED显示屏作为平板显示的主导产品之一无疑会有更大的发展,并有可能成为二十一世纪平板显示的代表性主流产品。高亮度、全彩化蓝色及纯绿色LED产品自出现以来,成本逐年快速降低,已具备成熟的商业化条件。基础材料的产业化。使LED全彩色显示产品成本下降,应用加快。以全彩色户外φ26显示屏为例,1996年的产品市场价格每平方米在12万元左右,1999年已降至7-8万人民币/m2,LED 产品性能的提高,使全彩色显示屏的亮度、色彩、白平衡均达到比较理想的效果,完全可以满足户外全天候的环境条件要求,同时,由于全彩色显示屏价格性能比的优势,预计在未来几年的发展中,全彩色LED显示屏在户外广告媒体中会越来越多地代替传统的灯箱、霓虹灯、磁翻板等产品,体育场馆的显示方面全彩色LED屏更会成为主流产品。全彩色LED显示屏的广泛应用会是LED显示屏产业发展的一个新的增长点。

标准化、规范化材料、技术的成熟及市场价格的基本均衡之后,LED显示屏的标准化和规范化将成为LED显示屏发展的一个新趋势。近几年业内的发展,市场竞争在传统产品条件下是以价格作为主要的竞争手段,几番价格回落调整达到基本均衡,产品质量,系统的可靠性等将成为主要的竞争因素,这就对LED显示屏的标准化和规范化有了较高要求,业内一些骨干企业已开始在企业实施ISO9000系列标准。行业规范和标准体系的形成,对产品的检测有了相对统一的认识和评判依据,生产条件差、技术性不强、售后服务体系不完善的企业将受到市场的淘汰,预计今后几年内一批小规模LED显示屏厂商会逐步淡出,行业的发展趋于有序。

产品结构多样化信息化社会的形成,信息领域愈加广泛,LED显示屏的应用前景更为广阔。预计大型或超大型LED显示屏的主流产品局面将会发生改变,适合于服务行业特点和专业性要求的小型LED显示屏会有较大提高,面向信息服务领域的LED显示屏产品门类和品种体系将更加丰富,部分潜在市场需求和应用领域将会有所突破,如公共交通、停车场、餐饮、医院等综合服务方面的信息显示屏需求量将有更大的提高,大批量、小型化的标准系统LED显示屏在LED显示屏市场总量中将会占有多数份额。

第二章硬件设计

LED显示屏的驱动电路主要由点阵显示屏、主控制器、行驱动、列控制几部份组成。

2.1点阵显示屏

最常见的LED点阵显示单元有5X7、7X9、 8X8结构,前两种主要用于显示各种西文字符,后一种常用于显示各种汉字字符,因此本设计中点阵显示屏每个单元是32个8X8点阵LED显示模块,32片LED点阵显示模块组成一个128X16的LED点阵,用于同时显示8个16X16点阵汉字。

.8X8 点阵LED工作原理说明

8X8点阵共需要64个发光二极管组成,且每个发光二极管是放置在行线和列线的交叉点上,当对应的某一列置1电平,某一行置0电平,则相应的二极管就亮。

8X8点阵LED结构如下图所示:

8X8点阵LED外观及引脚图如下图所示

图 2.2 8X8点阵LED外观及引脚图

图 2.3 8X8点阵LED电路原理

2.2控制器

本设计选用12m晶振、32个I\O、 8位数据总线的51类型单片机作为控制器。

在系统的设计中,选择合适的系统核心器件就成为能否成功完成设计任务的关键,而作为控制系统核心的单片机的选择更是重中之重。目前各半导体公司、电气商都向市场上推出了形形色色的单片机,并提供了良好的开发环境。选择好合适的单片机可以最大地简化单片机应用系统,而且功能优异,可靠性好,成本低廉,具有较强的竞争力。目前,市面上的单片机不仅种类繁多,而且在性能方面也各有所长。一般来说,选择单片机需要考虑以下几个方面:

(1)单片机的基本性能参数。例如指令执行速度,程序存储器容量,I/O 引脚数量等。

(2)单片机的增强功能。例如看门狗、多指针、双串口等。

(3)单片机的存储介质。对于程序存储器来说,Flash存储器和OTP(一次性可编程)存储器相比较,最好是Flash存储器。

(4)芯片的封装形式。如DIP(双列直插)封装,PLCC(PLCC有对应插座)封装及表面贴附等。

(5)芯片工作温度范围符合工业级、军工级还是商业级。如果设计户外产品,必须选用工业级。

(6)芯片的功耗。比如设计并口加密狗时,信

号线取电只能提供几毫安的电流,选用STC单片机

就是因为它能满足低功耗的要求。

(7)供货渠道是否畅通、价格是否低廉。

(8)技术支持网站的速度如何,资料是否丰富。

包括芯片手册,应用指南,设计方案,范例程序等。

(9)芯片保密性能好、单片机的抗干扰性能好。

STC89系列单片机是MCS-51系列单片机的派生

产品。它在指令系统、硬件结构和片内资源上与标

图2.4 STC89C52

芯片引脚及功能

准8052单片机完全兼容,DIP40封装系列与8051为pin-to-pin兼容。STC89系列单片机高速(最高时钟频率90MHz),低功耗,在系统/在应用可编程(ISP,IAP),不占用户资源。根据本系统的实际情况,选择STC89C52单片机,引脚见图2.4。

我们以前常用的89C51有以下特点:

表1:51单片机的优点

STC单片机的优点:

★加密性强,很难解密或破解,解密费用很高、国内能解密的人少,一般的仿制者望而退步.

★超强抗干扰:

1 、高抗静电(ESD保护)

2 、轻松过 2KV/4KV快速脉冲干扰(EFT 测试)

3 、宽电压,不怕电源抖动

4 、宽温度范围,-40℃~85℃

5 、I/O 经过特殊处理

6 、单片机内部的电源供电系统经过特殊处理

7 、单片机内部的时钟电路经过特殊处理

8 、单片机内部的复位电路经过特殊处理

9 、单片机内部的看门狗电路经过特殊处理

★三大降低单片机时钟对外部电磁辐射的措施:

——出口欧美的有力保证

1 、禁止ALE输出;

2 、如选 6 时钟/机器周期,外部时钟频率可降一半;

3 、单片机时钟振荡器增益可设为 1/2Gain.

★超低功耗:

1 、掉电模式:典型功耗<0.1 μ A

2 、空闲模式:典型功耗2mA

3 、正常工作模式:典型功耗4mA-7mA

4 、掉电模式可由外部中断唤醒,适用于电池

供电系统,如水表、气表、便携设备等.

★在系统可编程,无需编程器,可远程升级

★可送 STC-ISP 下载编程器,1 万片/人/天

★可供应内部集成 MAX810 专用复位电路的单片机,

只有 D 版本才有内部集成专用复位电路,原复位电路可以保留,也可以不用,不用时 RESET 脚直接短到地

★STC单片机直接替换ATMEL PHILIPS Winbon 产品

STC89C516RD+取代 P89C51RD2/RD+/RD,W78E516

STC89C516RD+取代 AT89C51RD2

STC89C58RD+取代 PHILIPS P89C51RC2/RC+/RC

STC89C58RD+取代 AT89C51RC2/RC,89C55

STC89C54RD+,取代 AT89C55,89S52/53,89S8252

STC89C54RD+,STC89C53RC取代 W78E54,W78E58

2.3行选和列选

2.3.1列信号控制

由于LED点阵与数码管相似,有共阴和共阳之分,因此下面以列为共阴极、行为共阳极的点阵为例.存实际应用中为了结构上安装、诎J试方便,可将8个8×8LED点阵做在一块电路板上,组成一块32×16点阵的模块:块与块相串联

就组成r一个大屏幕,列驱动电路如图l所示:一个模块有4片74Hc595,8块LED点阵,每一片74Hc595限流电阻后接2块LED点阵,图中只画出了部分电路。DATA IN和DATA OUT分别为模块的数据输入和数据输出,RCLA为7495移位寄存器信号锁入输出锁存器的时钟信号,CLK为同步时钟。将模块中前一片8位移位寄存器74HC595的数据输出(Q7)与下一片的输入(SER)相连接组成32位的串行数据链,每一位控制一列发光管.若显示方式为l/16占空比的动态扫描方式,则每一列控制16个发光管。当74Hc595的某一输出引脚为低电平时,该列上对应行扫描选中行的像素点亮。由于吸收电流的能力相对较强,且为动态扫描,因此在74HC595的输出脚上只需串接一个10~20n的限流电阻而不需再用列驱动也能得到满意的亮度效果。

2.3.2行信号控制

按照逐行扫描的方式,当全部列信号准备就绪后,控制列信号锁存器输出,相应的行信号应同时跟上。因采用点阵的行为共阳极,所以行选的作用就是为待点亮行提供电源。图2为采片j 8位移f市寄存器方法的行选电路。该电路中MR 为74Hcl64的清零信号,Ls为串行行选信号,I上1LK为行选同步时钟.74Hcl64的输H{经反相后控制三极管的导通与截止,从而分别控制第一74Hc244或74H 晓45进行驱动,也可采用斯密特触发时间的情况下每秒最大的刷新次数为200 000,21 504,反相器74Hcl4在模块的输入及输出进行二次驱动。

最新LED显示屏驱动电路设计

L E D显示屏驱动电路 设计

摘要 LED显示屏具有使用寿命长、响应速度快、可视距离远、规格品种多、数字化程度高、亮度高等特点,在信息显示领域已经得到了非常广泛的应用。它利用发光二极管构成的点阵模块或像素单元,组成大面积显示屏。其显示方法有静态显示和动态扫描显示。动态扫描显示耗用硬件资源少,但软件要不断处理,耗CPU。静态显示虽然软件简单但硬件价格稍贵。LED显示屏主要包括发光二极管构成的阵列、驱动电路、控制系统及传输接口和相应的应用软件。而驱动电路设计的好坏,对LED显示屏的显示效果、制作成本及系统的运行性能起着很重要的作用。 本文介绍了点阵式电子显示屏的硬件电路设计原理与软件设计方案,采用51系列单片机芯片,得到了一个能同时显示8个汉字16×16的LED点阵式电子显示屏。 关键词:LED显示屏动态扫描 AT89S52 74HC595

ABSTRACT The LED display monitor has the long of service life, quacking response speed, the far of it’s visual range , many specification variety, high of the digitized, the brightness higher characteristic. It in the information demonstrated the domain already obtained the extremely widespread application. It lattice module or picture element unit which constitutes using the light emitter, composes the big area display monitor. It’s demonstration method has the static demonstration and the dynamic scanning demonstration. The dynamic scanning demonstration consumes the hardware resources to be few, but the software must process unceasingly, and consumes CPU. Although the static state software for display is simple, the hardware price is slightly expensive. The LED display monitor mainly include the array which the light emitter diode constitutes , actuates the electric circuit ,the control system and the transmission connection and the corresponding application software. But actuates the circuit design the quality, to the LED display monitor demonstration effect, the manufacture cost and the system performance characteristic is playing the very vital role. Key words: LED display monitor Dynamic scanning AT89S52 74HC595

大功率LED的驱动电路设计(PT4115应用)

大功率LED 的驱动电路设计(PT4115应用) 摘要:LED (light emitting diode )即发光二极管,是一种用途非常广泛的固体发光光源,一种可以将电能转化为光能的电子器件。由于LED 具有节能、环保、使用寿命非常长,LED 元件的体积非常小,LED 的发出的光线能量集中度很高,LED 的发光指向性非常强,LED 使用低压直流电即可驱动,显色性高(不会对人的眼睛造成伤害)等优点,LED 被广泛应用在背光源、照明、电子设备、显示屏、汽车等五大领域。而且随着LED 研发技术的不断突破,高亮度、超高亮度、大功率的LED 相继问世,特别是白光LED 的发光效率已经超过了常用的白炽灯,正朝着常照明应用的方向发展,大有取代传统的白炽灯甚至节能灯的趋势。 本论文主要介绍采用恒流驱动方式实现驱动电路,并且提出一种基于恒流驱动芯片PT4115的高效率的大功率LED 恒流驱动解决方案。该种驱动电路简单、高效、成本低,适合当今太阳能产品的市场化发展。。 关键词:大功率LED ;驱动电路;恒流驱动芯片PT4115 一、LED 主要性能指标: 1)LED 的颜色:目前LED 的颜色主要有红色,绿色,蓝色,青色,黄色,白色,暖白,琥珀色等其它的颜色; 2)LED 的电流:一般小功率的LED 的正向极限电流多在20mA 。但大功率LED 的功率至少在1W 以上,目前比较常见的有1W 、3W 、5W 、8W 和10W 。1W LED 的额定电流为350mA,3W LED 的750mA 。 3)LED 的正向电压:LED 的正极接电源正极,负极接电源负极。一般1W 的大功率LED 的正向电压为3.5V~3.8V 。 4)LED 的反向电压:所允许加的最大反向电压。超过此值,发光二极管可能被击穿损坏 LED 发光强度:光源在给定方向的单位立体角中发射的光通量定义为光源在该方向的(发)光强(度),单位为坎德拉(cd )。 5)LED 光通量:光源在单位时间内发射出的光量称为光源的发光通量。单位为流明(lm)。如1W 大功率LED 的光通量一般为60~80LM 。 6)LED 光照度:1流明的光通量均匀分布在1平方米表面上所产生的光照度.,单位为勒克斯(lx)。 7)LED 显色性:光源对物体本身颜色呈现的程度称为显色性,也就是颜色逼真的程度。 8)LED 的使用寿命:LED 一般可以使用50,000小时以上。 9)LED 发光角度:二极管发光角度也就是其光线散射角度,主要靠二极管生产时加散射剂来控制。 二、大功率LED 的驱动方式: LED 驱动简单的来讲就是给LED 提供正常工作条件(包括电压,电流等条件)的一种电路,也是LED 能工作必不可少的条件,好的驱动电路还能随时保护LED ,避免LED 被损坏。 LED 驱动通常分为以下三种方式: (1) 镇流电阻驱动:就是简单的的在LED 变LED 的驱动电流.。 LED 的工作电流为: R U U I L -= 所以I 与镇流电阻R 成反比;当电源电压U 时,R 能限制I 的过量增长,使I 不超出LED

LED驱动电路的设计与制作

自动化学院 电子基础课程设计任务书 系班学生: 课题名称:LED驱动电路的设计与制作 课题要求:一、1、工作电源:交流220伏 2、LED功率为3W 二、完成原理图、PCB图设计 三、完成安装及调试。 四、写出设计报告。 课题内容: 第一周:查找相关资料;方案设计。 第一周:设计原理图、PCB图。 第二周:完成安装及调试。撰写报告 主要参考资料: [1].王庆主编. Protel99SE & DXP 电路设计教程. 电子工业出版, 2006.6 [2].康华光等. 电子技术基础(模拟部分第五版).高等教育出版社, 1999.6 [3].康华光等. 电子技术基础(数字部分第五版).高等教育出版社, 1999.6 时间:2009年1月5日

自动化学院 电子基础课程设计评分标准 平时表现评分:(20%) 优秀:(90-100) 遵守纪律,尊敬老师,爱护设备,工作量饱满,动手能力强,无缺勤,很好按课题进度进行。 良好:(80-89) 遵守纪律,爱护设备,工作量饱满,动手能力较强,考勤情况良好,较好按课题进度进行。 中等:(70-79) 遵守纪律,爱护设备一般,工作量一般,动手能力一般,偶尔缺勤,基本按课题进度进行。 及格:(60-69) 遵守纪律一般,人为因素损坏设备,工作量一般,动手能力差,偶尔缺勤,能按课题进度进行。 不及格:(59以下) 不遵守纪律,人为因素损坏设备,有技术安全事故,工作量不饱满,动手能力很差,经常迟到,早退,缺勤。 课题完成情况评分:(50%) 优秀:(90-100) 全部完成任务书要求,完成质量优良、结果正确,所完成的设计有一定的独立见解。 良好:(80-89) 全部完成任务书要求,完成情况良好,所完成的设计正确,解决了一些实际问题,结果正确。 中等:(70-79) 基本完成任务书要求,完成质量尚好,所完成的设计基本正确,但存在一些不足。 及格:(60-69) 基本完成任务书要求,完成质量尚好,所完成的设计基本正确,但有小错误。 不及格:(59以下) 未完成任务书要求,所作的设计有严重错误,基本概念不清。 电子基础课程设计报告质量评分(30%) 1、文献资料收集、整理、分析;对课题研究意义的阐述;文字精练、流畅、绘图整洁、符合标准规范、字体工整; 2、基本概念、基本理论及专业知识掌握扎实,运用灵活;设计思路、设计内容、计算方法及结果、计算机运用正确无误; 3、试验数据的获取(软件调试方法及过程)试验过程(调试过程)的正确性; 4、电子基础课程设计的结论,存在的问题,研究结果的创新性;

LED显示屏的的工作原理及驱动电路

单片机采用AT89C51。系统采用12MHz或更高频率的晶振,以获得较高的刷新频率,使显示更稳定。单片价的串口与列驱动器相连,用来送显示数据。P1口低4位与行驱动器相连,送出行选信号,P1.5~P1.7口则用来发送控制信号。P0和P2口空闲,在必要时可以扩展系统的ROM和RAM。 2.2时钟脉冲电路 AT89C51的最高时钟脉冲频率已经达到24MHz,它内部已经具备了振荡电路,只要在AT89C51的两个引脚(即19、18脚)连接到简单的石英振荡晶体的2个管脚即可,同时晶体的2个管脚也要用30pF的电容耦合到地,如图3所示。 图3时钟脉冲电路 2.3复位电路 AT89C51的复位引脚(RESET)是第9脚,当此引脚连接高电平超过2个机器周期时,即可产生复位的动作。以24MHz的时钟脉冲为例,每个时钟脉冲为05μs,两个机器周期为1μs,因此,在第9脚上连接1个2μs的高电平脉冲,即可产生复位动作。最简单的就是只有1个电阻跟1个电容就可构成可靠复位的电路,电阻选择10kΩ,电容选择10μF,如图4所示。 图4复位电路 2.4点阵显示驱动电路设计 采取分立元件三极管作驱动电路,驱动电路如图5所示。 图5点阵显示驱动电路 3系统软件设计 显示屏软件的主要功能是向显示屏提供显示数据,并产生各种控制信号,使屏幕按设计的要求显示。 根据软件分层次设计的原理,可把显示屏的软件系统分成两大层:第一层是底层的显示驱动程序,第二层是上层的系统应用程序。显示驱动程序负责向点阵屏传送特定组合的显示数据,并负责产生行扫描信号和其他控制信号,配合完成LED显示屏的扫描显示工作。显示驱动程序由显示子程序实现;系统环境设置(初始化)由系统初始化程序完成;显示效果处理等工作,则由主程序通过调用子程序来实现。 3.1显示驱动程序 显示驱动程序在进入中断后首先要对定时器T0重新赋初值,以保证显示屏刷新率的稳定。16行扫描格式的显示屏刷新率(帧频)的计算公式如下: 其中:F为晶振频率;T为定时器T0初值(工作在16位定时器模式)。 其次,显示驱动程序查询当前点亮的行号,从显示缓存区内读取下一行的显示数据,并通过串口发送给移位寄存器。 为消除在切换行显示数据时产生的拖尾现象,驱动程序先要关闭显示屏,即消隐,等显示数据输入输出锁存器后,再输出新的行号,重新打开显示。图6所示为显示驱动程序(显示屏扫描函数)流程图。 图6显示驱动程序流程图 3.2系统主程序 系统主程序开始以后,首先是对系统环境初始化,包括设置串口、定时器、中断、端口。然后以“卷帘出”效果显示文字或图案,停留几秒钟,接着向上滚动

小型LCD背光的LED驱动电路设计

小型LCD背光的LED驱动电路设计 过去几年来,小型彩色LCD 显示屏已经被集成到范围越来越宽广的 产品之中。彩色显示屏曾被视为手机的豪华配置,但如今,即便在入门级手机 中,彩屏已成为一项标配。幸好,手机产业的经济规模性(全球手机年出货量接 近10 亿部)降低了LCD 彩色显示屏的成本,并使它们集成在无论是便携医疗设备、通用娱乐遥控器、数字相框/彩色LCD 显示屏需要白色背光,以便用户在 任何光照环境下都能正常地观看。这个背光子系统包括1 个高亮度白光发光二 极管(LED)阵列、1 个扩散器(diffuser)以扩散光线和1 个背光驱动器将可用电能 稳压为恒定电流以驱动LED.一块1 到1.5 英寸的显示屏可能包含2 到4 个LED,而一块3.5 英寸显示屏则可能轻易地就包含6 到10 个LED.对于LED 而言,其光 输出与电流成正比,而且由于LED 具有非常陡峭的电流-电压(I-V)曲线,流过LED 的电流紧密匹配是非常重要,这样才能确保均衡背光,因为LED 通常分 布在LCD 显示屏的一边。此外,也需要软件控制让用户调节亮度,以及针对 周围光照环境作出补偿。根据流经LED 电流的不同,LED 的色点(color point) 可能会漂移。因此,将LED 电流设定为固定值并对LED 进行脉宽调制以降低 平均光输出就很普遍。要在手持产品设计中集成小型彩色LCD 显示屏并进而 实现成本、性能和电池寿命的恰当平衡,存在着一系列需要考虑的因素。 电池供电产品需要优化的LED 驱动电路架构,这些架构要处理并存的 多项挑战,如空间受限、需要高能效,以及电池电压变化-既可能比LED 的正 向电压高,也可能低。常用的拓扑结构有两种,分别是LED 采用并联配置的 电荷泵架构/恒流源架构和LED 采用串联配置的电感升压型架构。这两种方案 都有需要考虑的折衷因素,如升压架构能够确保所有LED 所流经的电流大小 相同但需要采用电感进行能量转换,而电荷泵架构使用小型电容进行能量转换,

led显示屏驱动电路

led显示屏驱动电路 led显示屏驱动电路(图1)LED显示屏常规驱动电路的设计LED显示屏驱动电路的设计,与所用控制系统相配合,通常分为动态扫描型驱动及静态锁存型驱动二大类。以下就动态扫描型驱动电路的设计为例为进行分析:动态扫描型驱动方式是指显示屏上的4行、8行、16行等n行发光二极管共用一组列驱动寄存器,通过行驱动管的分时工作,使得每行LED的点亮时间占总时间的1?n,只要每行的刷新速率大于50Hz,利用人眼的视觉暂留效应,人们就可以看到一幅完 led显示屏驱动电路(图1 ) LED显示屏常规驱动电路的设计 LED显示屏驱动电路的设计, 与所用控制系统相配合, 通常分为动态扫描型驱动及静 态锁存型驱动二大类。以下就动态扫描型驱动电路的设计为例为进行分析: 动态扫描型驱动方式是指显示屏上的4 行、 8 行、 16 行等n 行发光二极管共用一组列驱 动寄存器, 通过行驱动管的分时工作, 使得每行L ED 的点亮时间占总时间的1? n, 只要每行 的刷新速率大于 50 Hz, 利用人眼的视觉暂留效应, 人们就可以看到一幅完整的文字或画

面[ 2 ] 。 常规型驱动电路的设计一般是用串入并出的通用集成电路芯片如74HC595 或MC14094等作为列数据锁存, 以8050等小功率N PN 三极管为列驱动, 而以达林顿三极管 如TIP127等作为行扫描管, 其电路如图1 所示。 如以单色点阵、 16 行×64 列为一个基本单元, 则需用 8 片 74HC595、 64 个8050 及 16 个行扫描管, 其工作原理为: 将八片 74HC595 级连, 共用一个串行时钟CL K 及数据锁存信号STR。当第一行需要 显示的数据经过8×8= 64 个CL K 时钟后将全部移入 74HC595 中, 此时产生一个数据锁存 信号STR , 使数据锁存在74HC595 的后级锁存器中, 则与其各输出位对应的8050 将处于饱 和导通或截止; 同时由行扫描控制电路产生信号使第一行扫描管导通, 相当于第一行L ED 的正端都接高平, 显然第一行L ED 管子的亮灭就取决于74HC595 中所锁存的信号; 在第一 行L ED 管子点亮的同时, 在 74HC595 中移入第二行需要显示的数据, 随后将其锁存, 并同 时由行扫描控制电路将第一行扫描管关闭而接通第二行, 使第二行L ED 管子点亮……以此 类推, 当第十六行扫描过后再回到第一行, 只要扫描速度足够高, 就可形成一幅完整的文字 或图像, 其工作时序见图2。 常规型驱动电路存在的缺陷 该常规型驱动电路的设计结构虽然比较简单, 但存在有二个缺陷: (1)当某一行行驱动管有效时, 该行所对应的所有L ED 发光二极管的点亮电流都将流过该行驱动扫描管, 而一行中点亮L ED 管子的多少随所要显示的文字或图形而不断改 变, 所以行扫描管中流过的电流有较大的变化, 将使其管压降有所改变; (2)点亮L ED 管子的多少而引起电流的变化也将影响到电源电压值的波动, 由此将

led显示屏控制卡-LED显示屏控制器原理

目录 第一章 801型、802型卡功能简介 (1) 第二章硬件参数 (5) 第二章第8代控制系统使用手册 (6) 第三章国标网线制作方法 (25) Index Chapter I Model 801 and 802 functions and features (27) Chapter II Model 801 and 802 manual (30) Chapter III Communication cable making method (49) 深圳三鑫维科技是一家专业生产制造LED显示屏的知名企业,20年的led行业研究经验,如还有不理解的请咨询电话:9

第一章 801型、802型卡功能简介 一、完全兼容第七代 基于第七代升级开发,原功能不少,新功能更多更强大,系统更稳定更可靠。可与七代系统混合使用。 二、支持10位颜色 旧系统的8位颜色只能显示256X256X256=1677216种颜色,新系统颜色数为1024X1024X1024=1073741824种颜色,新系统颜色数是旧系统的64倍。 三、智能连接功能 同一块显示屏的多块接收卡/箱体(含备用的)可以任意交换而不需重新设置,接收卡能智能地动识别需显示的内容。 四、智能监控 每块接收卡均有温度检测和四路风扇监控输出,可根据用户设定的温度上限智能地控制四路风扇转速。 五、公司图标显示 当发送卡电源没开启时显示屏自动显示设定的公司图片,图片像素为128X128,颜色数为16K色。 六、支持16以内的任意扫描方式 原系统只支持1、2、4、8、16扫描,新系统为1、2、3、4、5、6、 7、8、9、10、11、12、13、14、15、16扫描。 七、支持模块宽度为64以内的任意数

LED点阵驱动电路设计

电子技术基础课程设计说明书题目:8x8 LED点阵驱动电路设计 学生姓名:王涉华 学号: 201306050122 院(系):理学院 专业:电子科学与技术 指导教师:戴庆瑜 2015 年 12 月 28日

目录 1 选题背景 (1) 1.1 基本设计任务 (1) 1.2 发挥设计任务 (1) 1.3 设计原理 (1) 1.4 方案论证 (1) 2 电路设计 (2) 2.1 电路设计框图 (2) 2.2 工作原理 (3) 3 各主要电路及部件工作原理 (3) 3.1 555多谐振荡电路 (3) 3.2 74HC161引脚图及工作原理 (5) 3.3 74HC138引脚图及工作原理 (6) 3.4 74HC573引脚图及工作原理 (7) 3.5 AT28C16引脚图及相关参数 (7) 3.6 上电复位及开关手动复位电路设计 (8) 3.7 8x8共阴点阵 (9) 3.8 74HC04引脚图及功能 (10) 4 原理总图 (12) 5 元件清单 (13) 6 调试过程及测试数据(采用分模块调试) (13) 6.1 通电前检查 (13) 6.2 复位电路及手动开关复位电路的调试 (13) 6.3 NE55的调试 (14) 6.4 AT28C16的调试 (14) 6.5 结果观察调试 (15) 7 电路实物 (15) 7.1 整体实物电路展示 (15) 7.2 电路功能部分展示 (16) 8 小结 (19) 9 设计体会及改进意见 (19) 9.1 设计体会 (19) 9.2 设计不足 (19) 9.3 设计改进意见 (19) 参考文献 (20)

1 选题背景 LED 点阵显示是利用发光二极管点阵模块或像素单元组成的平面式显示方式。目前,由于成本及实用性的优势,以LED半导体发光器件为显示介质的大型显示屏在公共场合的广告宣传、通告发布等方面已得到广泛的应用,其驱动方式也随着技术的逐渐成熟而变得丰富多样,且各具特色。一个大型LED显示屏由上万个甚至更多的LED单元构成,而如何控制这些单一的单元按照我们的预期呈现显示内容,即LED的单元驱动电路的设计便显得尤为重要。如何设计一个既能满足显示要求又能尽量节省成本的LED驱动电路呢?在这里,我以8x8点阵为例进行研究。 1.1 基本设计任务 (1)能够显示0~9、a~z或A~Z,显示字符数量不少于8个; (2)能手动或自动循环显示字符。 1.2 发挥设计任务 可实现显示内容的左右移动。 1.3 设计原理 通过控制555单稳态触发器输入脉冲频率信号,再通过计数器作为存储器的输入,以存储器和译码器作为高低电平的输入,进而控制加在点阵 LED灯两端的电压,这样就可以实现LED的亮灭控制。 1.4 方案论证 方案一:以74HC161和74HC138构成顺序脉冲发生器,输出作为共阴8x8点阵的横向驱动,纵向驱动由三态门74HC244控制存储器AT28C16的输出来进行调节,三态门控制存储器的八位输出只有一位有效,其它处于高阻状态,依次循环。用两组8输出计数器74HC161作为AT28C16的地址输入,其中一组为另一组置位,每次可点亮一个灯,需要八分之一个字节,只需设置64个灯的总的点亮时间小于人眼的分辨时间(大概为0.02s),利用人眼

LED可调驱动电路电源设计

LED可调驱动电源课程设计 院系: 年级专业: 姓名: 指导教师: 学号: 日期: LED驱动电源课程设计

一、设计规格 1、设计一个恒流LED驱动电路,电流值为350mA 2、设计一个调光电路,PWM波的占空比由20%~80%可调 3、整个驱动电路有9V供电 4、LED电压4-8V 5、电路效率90% 二、设计过程 1、画原理图

2、原理描述 A、555芯片构成的PWM脉宽调制电路 PWM称之为脉冲宽度调制信号,利用脉冲的宽度来调整亮度,也可用来控制DC马达。 PWM脉冲宽度调制信号的基本频率至少约400HZ-10KHZ,当调整LED的明或暗时,这个基本的频率不可变动,而是改变这个频率上方波的宽度,宽度越宽则越亮、宽度越窄则越暗。 PWM是控制LED的点亮时间,而不是改变输出的电压来控制亮度。 以下为PWM工作原理: Reset接脚被连接到+V,因此它对电路没有作用。当电路通电时,Pin 2 (触发点)接脚是低电位,因为电容器C2开始放电。这开始振荡器的周期,造成第3接脚到高电位。当第3接脚到高电位时,电容器C2开始通过R1和对二极管D2充电。当在C2的电压到达+V

的2/3时启动接脚6,造成输出接脚(Pin3)跟放电接脚(Pin7)成低电位。 当第3接脚到低电位,电容器C2起动通过R1和D1的放电。当在C2的电压下跌到+V的1/3以下,输出接脚(Pin3)和放电接脚(Pin7)接脚到高电位并使电路周期重复。 Pin 5并没有被外在电压作输入使用,因此它与0.01uF电容器相接。 电容器C2通过R1及二极管,二极管一边为放电一边为充电。充电和放电电阻总和是相同的,因此输出信号的周期是恒定的。工作区间仅随R1做变化。 PWM信号的整体频率在这电路上取决于R1和C2的数值。公式:频率(Hz)= 1.44/(R1 * C2) B、HV9910B构成的恒流驱动电路 HV9910B是PWM高效率LED驱动IC。它允许电压从8VDC一直到450VDC而对HBLED有效控制。HV9910B通过一个可升至300KHz的频率来控制外部的MOSFET,该频率可用一个电阻调整。LED串是受到恒定电流的控制而不是电压,如此可提供持续稳定的光输出和提高可靠度。输出电流调整范围可从MA级到 1.0A。HV9910B使用了一种高压隔离连接工艺,可经受高达450V的浪涌输入电压的冲击。对一个LED串的输出电流能被编程设定在0和他的最大值之间的任何值,它由输入到HV9910B的线性调光器的外部控制电压所控制。 调光: 有两种方式可实现调光,取决于不同的应用,可以单独调节也可

LED显示屏的的工作原理及驱动电路

LED点阵显示控制 1原理与方案 1.1原理 对于点阵型LED显示可以采用共阴极或共阳极,本系统采用共阳极,其硬件电路如图1所示。当行上有一正选通信号时,列选端四位数据为0的发光二极管便导通点亮。这样只需要将图形或文字的显示编码作为列信号跟对应的行信号进行逐次扫描,就可以逐行点亮点阵。只要扫描速度大于24 Hz,由于扫描时间很快,人眼的视觉有暂留效应,就可以看到显示的是完整的图形或文字。 图1 硬件电路 本次设计要完成基于单片机的LED点阵显示控制的设计,总体方案是以单片机为控制核心,通过行列驱动电路,在LED点阵屏上以左移方式显示文字。在设计过程中驱动电路运用动态扫描显示,动态扫描简单地说就是逐行轮流点亮,这样扫描驱动电路就可以实现多行(比如16行)的同名列共用一套列驱动器。由于静态扫描显示(并行传输)的局限性,故采用动态扫描显示(串行传输),显示模式用LED点阵屏模块作显示屏。 1.2 总体方案 本次设计单片机采用AT89C51,行电路使用逐行扫描的方式,列电路使用串入并出的数据传输方式,显示屏使用由16x16的点阵LED组成的点阵模块。使用到的芯片4线-16线译码器74LS154和三极管8550。总体设计框图如图2所示。

2.3 复位电路 AT89C51的复位引脚(RESET)是第9脚,当此引脚连接高电平超过2个机器周期时,即可产生复位的动作。以24 MHz的时钟脉冲为例,每个时钟脉冲为05μs,两个机器周期为1 μs,因此,在第9脚上连接1个2μs的高电平脉冲,即可产生复位动作。最简单的就是只有1个电阻跟1个电容就可构成可靠复位的电路,电阻选择10 kΩ,电容选择10μF,如图4所示。 图4 复位电路 2.4 点阵显示驱动电路设计 采取分立元件三极管作驱动电路,驱动电路如图5所示。 图5 点阵显示驱动电路 3 系统软件设计 显示屏软件的主要功能是向显示屏提供显示数据,并产生各种控制信号,使屏幕按设计的要求显示。 根据软件分层次设计的原理,可把显示屏的软件系统分成两大层:第一层是底层的显示驱动程序,第二层是上层的系统应用程序。显示驱动程序负责向点阵屏传送特定组合的显示数据,并负责产生行扫描信号和其他控制信号,配合完成LED显示屏的扫描显示工作。显示驱动程序由显示子程序实现;系统环境设置(初始化)由系统初始化程序完成;显示效果处理等工作,则由主程序通过调用子程序来实现。

LED电源驱动电路的基本设计详解

LED电源驱动电路的基本设计详解 LED电源驱动电路解析随着白光LED的诞生及其迅速发展,LED开始进入普通照明阶段。LED是一种固态冷光源,是继白炽灯、荧光灯和高强度放电灯(HID)之后出现的第四代电光源。现已普遍应用于建筑物照明、街道照明、景观照明、标识牌、信号灯、以及住宅内的照明等领域中。 LED 供电的原始电源目前主要有三种:即低压电池、太阳能电池和交流市电电源。无论是采用哪一种原始电源,都必须经过电源变换来满足LED 的工作条件。这种电源变换电路,一般来说就是指的LED 驱动电路。在LED 太阳能供电系统中,还需要蓄电池或超级电容器,用以储存太阳能。在夜晚需要照明时,蓄电池或超级电容器再通过控制电路放电,为LED驱动电路供电。 太阳能和风能与LED 的结合,是LED 应用的一大亮点,它将为第三世界的贫困和边远地区带来光明,让绿色照明的光辉照亮世界的每一个角落。 一、低压直流供电的LED驱动电路1.当输入电压高于LED电压时 当输入电压高于LED或LED串的电压降时,通常采用线性稳压器或开关型降压稳压器。(1)线性稳压器 线性稳压器是一种DC-DC 降压式变换器。LED 驱动电路所采用的线性稳压器大都为低压差稳压器(LDO),其优点是不需要电感元件,所需元件数量少,不产生EMI,自身电压降比较低。但是与开关型稳压器相比,LDO的功率损耗还是较大,效率较低。LDO在驱动350mA以上的大功率LED串时,往往需要加散热器。 (2)开关型降压(buck)稳压器 基于单片专用IC 的开关型降压稳压器需要一个电感元件。许多降压稳压器开关频率达1MHz以上,致使外部元件非常小,占据非常小的空间,效率达90%以上。但这种变换器会产生开关噪声,存在EMI问题。图1所示是基于Zetex 公司ZXSC300的3W LED 降压型驱动电路。其中的RCS为电流传感电阻,D1为1A的肖特基二极管。在6V的输入电压下,通过LED的电流达1.11A.ZXSC300 采用5 引脚SOT23 封装。

3款LED显示屏驱动芯片比较(精)

LED显示屏作为一项高科技产品引起了人们高度重视,采用计算机控制,将光、电融为一体的大屏幕智能显示屏已经应用到很多领域。LED显示屏的像素点采用LED发光二极管,将许多发光二极管以点阵方式排列起来,构成LED阵列,进而构成LED屏幕。通过不同的LED驱动方式,可得到不同效果的图像。因此驱动芯片的优劣,对LED显示屏的显示质量起着重要的作用。 LED驱动芯片可分为通用芯片和专用芯片。通用芯片一般用于LED显示屏的低端产品,如户内的单、双色屏等。最常用的通用芯片是74HC595,具有8位锁存、串一并移位寄存器和三态输出功能。每路最大可输出35mA 电流(不是恒流一般IC厂家都可生产此类芯片。 由于LED电流特性器件,即在饱和导通的前提下,其亮度随着电流大小的变化而变化,不是随着其两端电压的变化而变化。因此,专用芯片的一个最大特点是提供恒流源。恒流源可保证LED稳定驱动,消除LED闪烁现象。下面将重点介绍LED显示屏的专用驱动芯片。专用芯片的主要参数和发展现状专用芯片具有输出电流大、恒流等基本特点,比较适用于要求大电流、画质高的场合,如户外全彩屏、室内全彩屏等。专用芯片的关键性能参数有最大输出电流、恒流源输出路数、电流输出误差(bittobit,chiptochip和数据移位时钟等。1最大输出电流目前主流的恒流源芯片最大输出电流多定义为单路最大输出电流,一般90mA 左右。电流恒定是专用芯片的基本特性,也是得到高画质的基础。而每个通道同时输出恒定电流的最大值(即最大恒定输出电流对显示屏更有意义,因为在白平衡状态下,要求每一路都同时输出恒流电流。一般最大恒流输出电流小于允许的最大输出电流。2恒流输出通道恒流源输出路数有8位(8路恒源和16位(16路恒源两种规格,现在16位源占主流,其主要优势在于减少了芯片尺寸,便于LED驱动板 PCB布线,特别是对于点间距较小的LED驱动板更有利。3电流输出误差电流输出误差分为两种,一种是位间电流误差,即同一个芯片每路输出之间的误差;另一种是片间电流误差,即不同芯片之间输出电流的误差。电流输出误差是个很关键的参数,对显示屏的均匀性影响很大。误差越大,显示屏的均匀性越差,很难使屏体达到白平衡。目前主流恒流源芯片的位间电流误差(bittobit一般在±6%以内,chiptochip片间电流误差在±15%以内。4数据移位时钟数据移位时钟决定了显示数据的传输速

LM2734大功率LED恒流驱动电路的设计

大功率LED 恒流驱动电路的设计虽然大功率LED 现在还不能大规模取代传统的白炽灯,但它们在室内外装饰、特种照明方面有着越来越广泛的应用,因此掌握大功率LED 恒流驱动器的设计技术,对于开拓大功率LED 的新应用至关重要。LED 按照功率和发光亮度可以划分为大功率LED、高亮度LED 及普通LED。一般来说,大功率LED 的功率至少在1W 以上,目前比较常见的有1W、3W、5W、8W 和10W。已大批量应用的有1W 和3W LED,而5W、8W 和10W LED 的应用相对较少。预计大功率LED 灯会在2008年奥运会上大量应用,因此电子和照明行业都非关注LED 照明新技术的发展应用。 恒流驱动和提高LED 的光学效率是LED 应用设计的两个关键问题,本文首先介绍大功率LED 的应用及其恒流驱动方案的选择指南,然后以美国国家半导体(NS)的产品为例,重点讨论如何巧妙应用LED 恒流驱动电路的采样电阻提高大功率LED 的效率,并给出大功率LED 驱动器设计与散热设计的注意事项。 驱动芯片的选择 LED 驱动只占LED 照明系统成本的很小部分,但它关系到整个系统性能的可靠性。目前,美国国家半导体公司的LED 驱动方案主要定位在中高端LED 照明和灯饰等市场。灯饰分为室内和室外两种,由于室内LED 灯所应用的电源环境有AC/DC 和DC/DC 转换器两种方式,所以驱动芯片的选择 也要从这两方面考虑。 图1:利用DC/DC 稳压器FB 反馈端实现从恒压驱动(左图)到恒流驱动(右图)的转换。 1.AC/DC 转换器 AC/DC 分为220V 交流输入和12V 交流输入。12V 交流电是酒店中广泛应用的卤素灯的电源,现有的LED 可以在保留现有交流12V 的条件下进行设计。针对替代卤素灯的设计,美国国家半导体L M2734的主要优势是体积小、可靠性高、输出电流高达1A,恰好适合卤素灯灯口直径小的特点。2004.01.01研发部 paulzheng

LCD显示屏的器件选择和驱动电路设计说明

LCD显示屏的器件选择和驱动电路设计 如何实现LCD平板显示屏驱动电路的高性能设计是当前手持设备设计工程师面临的重要挑战。本文分析了LCD显示面板的分类和性能特点,介绍了LCD显示屏设计中关键器件L DO和白光LED的选择要点,以及电荷泵LED驱动电路的设计方法。 STN-LCD彩屏模块的内部结构如图1所示,它的上部是一块由偏光片、玻璃、液晶组成的LCD屏,其下面是白光LED和背光板,还包括LCD驱动IC和给LCD驱动IC提供一个稳定电源的低压差稳压器(LDO),二到八颗白光LED以及LED驱动的升压稳压IC。 STN-LCD彩屏模块的电路结构如图2所示,外来电源Vcc经LDO降压稳压后,向LCD驱动IC如S6B33BOA提供工作电压,驱动彩色STN-LCD的液晶显示图形和文字;外部电源Vcc经电荷泵升压稳压,向白光LED如NACW215/NSCW335提供恒压、恒流电源,LED的白光经背光板反射,使LCD液晶的65K色彩充分表现出来,LED的亮度直接影响LCD色彩的靓丽程度。

LCD属于平板显示器的一种,按驱动方式可分为静态驱动(Static)、单纯矩阵驱动(Simple Matrix)以及有源矩阵驱动(Active Matrix)三种。其中,单纯矩阵型又可分为扭转式向列型(Twisted Nematic,TN)、超扭转式向列型(Super Twisted Nematic,STN),以及其它无源矩阵驱动液晶显示器。有源矩阵型大致可区分为薄膜式晶体管型(ThinFilmTr ansistor,TFT)及二端子二极管型(Metal/Insulator/Metal,MIM)两种。TN、STN及TFT型液晶显示器因其利用液晶分子扭转原理的不同,在视角、彩色、对比度及动画显示品质上有优劣之分,使其在产品的应用范围分类亦有明显差异。以目前液晶显示技术所应用的范围以及层次而言,有源矩阵驱动技术是以薄膜式晶体管型为主流,多应用于笔记本电脑及动画、影像处理产品;单纯矩阵驱动技术目前则以扭转向列以及STN为主,STN液晶显示器经由彩色滤光片(colorfilter),可以分别显示红、绿、蓝三原色,再经由三原色比例的调和,可以显示出全彩模式的真彩色。目前彩色STN-LCD的应用多以手机、PDA、数码相机和视屏游戏机消费产品以及文字处理器为主。 器件选择 1. LDO选择。由于手机、PDA、数码相机和视屏游戏机消费产品都是以电池为电源,随着使用时间的增长,电源电压逐渐下降,LCD驱动IC需要一个稳定的工作电压,因此设计电路时通常由一个LDO提供一个稳定的 2.8V或 3.0V电压。LCM将安装在手机的上方,与手机的射频靠得很近,为了防止干扰,必须选用低噪音的LDO,如LP2985、AAT3215。 2. 白光LED。按背光源的设计要求,需要前降电压(VF)和前降电流(IF)小、亮度高(500-1800mcd)的白光LED。以手机LCM为例,目前都使用3-4颗白光LED,随着LED 的亮度增加和手机厂商要求降低成本和功耗,预计到2004年中LCM都会选用2颗高亮度白光LED(1200-2000mcd),PDA和智能手机由于LCD屏较大会按需要使用4-8颗白光LED。NAC W215/NSCW335和EL 99-21/215UCW/TR8是自带反射镜的白光LED,EL系列其亮度分为T、S、R三个等级,T为720-1000mcd,S为500-720mcd,都是在手机LCD背光适用之列。 LED驱动电路设计

大功率LED的驱动电路设计_图文(精)

《综合课程设计》课程报告 姓名:韩阳 学号:专业:光信息科学与技术 任课教师:王习东 成绩: 三峡大学理学院物理系 2009年1月05日 大功率LED 的驱动电路设计 摘要:LED (light emitting diode)即发光二极管,是一种用途非常广泛的固体发光光源,一种可以将电能转化为光能的电子器件。由于LED 具有节能、环保、使用寿命非常长,LED 元件的体积非常小,LED 的发出的光线能量集中度很高,LED 的发光指向性非常强,LED 使用低压直流电即可驱动,显色性高(不会对人的眼睛造成伤害)等优点,LED 被广泛应用在背光源、照明、电子设备、显示屏、汽车等五大领域。而且随着LED 研发技术的不断突破,高亮度、超高亮度、大功率的LED 相继问世,特别是白光LED 的发光效率已经超过了常用的白炽灯,正朝着常照明应用的方向发展,大有取代传统的白炽灯甚至节能灯的趋势。本论文主要介绍采用恒流驱动方式实现驱动电路,并且提出一种基于恒流驱动芯片PT4115的高效率的大功率LED 恒流驱动解决方案。该种驱动电路简单、高效、成本低,适合当今太阳能产品的市场化发展。。 关键词:大功率LED ;驱动电路;恒流驱动芯片PT4115 一、LED 主要性能指标:

1)LED 的颜色:目前LED 的颜色主要有红色, 绿色, 蓝色, 青色, 黄色, 白色, 暖白, 琥珀色等其它的颜色; 2)LED 的电流:一般小功率的LED 的正向极限电流多在20mA 。但大功率LED 的功率至少在1W 以上,目前比较常见的有1W 、3W 、5W 、8W 和10W 。1W LED 的额定功率为350mA,3W LED的750mA 。 3)LED 的正向电压:LED 的正极接电源正极, 负极接电源负极。一般1W 的大功率LED 的正向电压为3.5V~3.8V。 4)LED 的反向电压:所允许加的最大反向电压。超过此值,发光二极管可能被击穿损坏 LED 发光强度:光源在给定方向的单位立体角中发射的光通量定义为光源在该方向的(发光强(度,单位为坎德拉(cd )。 5)LED 光通量:光源在单位时间内发射出的光量称为光源的发光通量。单位为流明(lm。如1W 大功率LED 的光通量一般为60~80LM。 6)LED 光照度:1流明的光通量均匀分布在1平方米表面上所产生的光照度. ,单位为勒克斯(lx。 7)LED 显色性:光源对物体本身颜色呈现的程度称为显色性,也就是颜色逼真的程度。 8)LED 的使用寿命:LED 一般可以使用50,000小时以上。 9)LED 发光角度:二极管发光角度也就是其光线散射角度,主要靠二极管生产时加散射剂来控制。 二、大功率LED 的驱动方式: LED 驱动简单的来讲就是给LED 提供正常工作条件(包括电压, 电流等条件的一种电路, 也是LED 能工作必不可少的条件, 好的驱动电路还能随时保护LED ,避免LED 被损坏。 LED 驱动通常分为以下三种方式:

LED显示屏原理及调试技术指南

LED显示屏原理及调试技术 第一章原理篇 第一节并行灯板原理 1. 灯板驱动原理 图1 讲的是如何才能让一颗LED 灯点亮,我们知道红灯的Vf 一般为2.2V 左右,绿灯、蓝灯的Vf 一般为3.2V 左右,一般电流设计在10mA~20mA,电流过高可能会烧坏LED 灯,满足以上两个条件就可以驱动LED 灯的正常点亮。 (Vled:是供电电压,一般为5V,现在有下降的趋势,可以做到低压节能。Vf:是发光二极管正向导通电压,Vds:是驱动芯片导通后电压) 图 1 灯板实际是由多个LED 灯组合而成的,下图是一个简单的单色灯板示意图: 图 2

图 3 图3 是一个8*8 大小,8 扫的灯板,扫描屏灯板是逐行点亮的,两扫之间扫描间隔的时间是非常短的,由于人眼的视觉暂留效应,所以我们看起来就是连续的画面.驱动电路的框架如下图所示,行控制信号A、B、C 控制138 译码器,138 译码器输出8 路信号控制行管4953,然后4953 输出端控制灯板每一行灯的阳极。恒流驱动芯片的每个通道控制灯板的每一列,要想点亮一颗灯板,只需要把它所在的列输出低电平,行输出高电平即可。 2. 驱动芯片的控制信号 ●CLK 时钟信号:提供给移位寄存器的移位脉冲,每一个脉冲将引起数据 移入或移出一位。数据口上的数据必须与时钟信号协调才能正常传送数 据,数据信号的频率必须是时钟信号的频率的1/2 倍。 ●LAT(STB)锁存信号:将移位寄存器内的数据送到锁存器,并将其数据 内容通过驱动电路通过点亮LED 显示出来。 ●OE 使能信号:当OE 为低时,启动OUT0—OUT15 的输出,只要调整OE 脉 宽可以实现对整屏亮度控制,也用于显示屏消隐。

LED照明驱动电路设计

采用LED照明,首先需要考虑的是其亮度、成本以及寿命。由于影响LED寿命的主要原因是其频繁启动瞬间的电流冲击,外界的各种 浪涌脉冲,以及正常工作时的电流限制等,笔者在本文介绍的电路综合了这些因素,从电路设计上尽量避免大电流对LED照明灯具的冲击,并将其工作电流稳定在某一范围内,解决了目前LED照明灯具的亮度衰减问题,从而有效地延长其使用寿命。 LED均采用直流驱动,因此在市电与LED之间需要加一个电源适配器即LED驱动电源。它的功能是把交流市电转换成适合LED的直流电。通常驱动LED采用专用恒流源或者驱动芯片,容易受体积和成本等因素的限制,最经济实用的方法就是采用电容降压式电源。用它驱动小功率L ED,具有不怕负载短路、电路简单等优点,而且一个电路能驱动1~70 个小功率LED(但是,这种电源电路启动时的电流冲击,尤其是频繁启动,会给LED造成破坏。当然,采取适当的保护便可避免这种冲击)。 电容降压式电源的典型电路如图1所示,C1为降压电容器(采用金属化聚丙烯电容),R1为C1提供放电回路。电容C1为整个电路提供恒定的工作电流。电容C2为电解电容,其耐压值取决于所串联的LED的个数(约为其总电压的1.5倍以上),它的主要作用是抑制通电瞬间引起的电压突变,从而降低电压冲击对LED寿命的影响。R4为电容C2的泄流电阻,其阻值应随着LED个数的增加适当增加。 需要注意的是,该电路必须根据负载的电流大小选取适当的电容,而不是依据负载的电压和功率,通常降压电容C1的容量C与负载电流I o的关系可近似认为:C=14.5Io,其中C的容量单位是uF,Io的单位是A。限流电容必须采用无极性电容,而且电容的耐压值须在630V以上。

相关主题
文本预览
相关文档 最新文档