当前位置:文档之家› 汽车尾灯控制电路设计

汽车尾灯控制电路设计

汽车尾灯控制电路设计
汽车尾灯控制电路设计

课程设计——汽车尾灯控制电路设计

一、实验目的:熟悉常用芯片的使用,掌握时序逻辑电路和组合逻辑电路的分析方法,

培养设计能力。

二、设计要求:假设汽车尾部左右两侧各有3个指示灯(用发光二极管代替),应使

指示灯达到三个要求:

a、汽车正常运行时指示灯全灭;

b、右转弯时,右侧三个指示灯按右循环顺序点亮;左转弯时左侧3个指示

灯按左循环顺序点亮。

c、临时刹车时所有指示灯同时闪烁。

三、设计步骤:

(1)列出尾灯与汽车运行状态表

由于汽车左转弯时,三个灯循环点亮,所以用三进制计数器控制译码电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与各给定条件(S1、S2、CP、Q1、Q0)的关系,即逻辑功能表如表2所示(表中0表示灯灭状态,1表示灯亮状态)。

图1 汽车尾灯控制电路原理框图

(3)设计单元电路

三进制计数器电路可由双JK 触发器74LS76构成。

图2 三进制计数器电路图

采用CP 下降沿触发的JK 触发器,当CP 由1跳变为0时,触发器的输出依据J 和K 的状态而定。表3为J-K 触发器的状态表。

由双JK 汽车尾灯电路如图3所示,其显示驱动电路由6个发光二极管和6个反相器构成,译码电路由3-8译码器74LS138和6个与非门构成。74LS138的三个输入端A 2、A 1、A 0分别接S 1、Q 1、Q 0,而Q 1Q 0是三进制计数器的输出端。当S 1=1,S 2

=0时,使能信

号A =G =1,计数器的状态为00,01,10时,74LS138对应的输出端0Y 、1Y 、2Y 依次为0有效(3Y 、4Y 、5Y 信号为“1”无效),即反相器G 1~G 3的输出也依次为0,故指示灯D 3→D 2→D 1按顺序点亮示意汽车左转弯。若上述条件不变,而S 1=0,S 2=1时,

则74LS138对应的输出端4Y 、5Y 、6Y 依次为0有效,即反相器G 4~G 6的输出端依次为0,

故指示灯D 4→D 5→D 6按顺序点亮示意汽车右转弯。当G =0,A =1时,74LS138的输出

端全为1,G 1~G 6的输出端也全为1,指示灯全灭;当G =0,A=CP 时,指示灯随CP 的频率闪烁。

图3 尾灯电路

开关控制电路。设74LS138和显示驱动电路的使能端信号分别为G 和A ,根据总体逻辑功能表分析及组合得G 、A 与给定条件(S 1、S 2、CP )的真值表,如表4所示,由表4结果整理得逻辑表达式为: 由上式得开关控制电路,如图4所示。

图4 开关控制电路(4)设计汽车尾灯总体电路

附录:芯片功能及引脚介

74LS00四2输入与非门74LS04 六反相器

74LS76 双JK触发器74LS10 三输入与非门74LS86 四-2输入异或门74LS138 3-8译码器

基于单片机-AT89C51-的汽车尾灯控制电路课程设计

物理与电子信息系 课程设计报告 课程名称:单片机课程设计 题目:汽车尾灯的设计 学生姓名:李海标学号:11409321 学生姓名:唐凯学号:11409310 系部:物理与电子信息系 专业年级:电子信息工程专业2011级指导教师:余胜 职称:副教授 湖南人文科技学院物理与电子信息系制

目录 摘要.................................................................................................................................. - 1 - 1、设计课题任务、功能要求说明及总体方案介绍................................................................ - 2 - 1.1设计课题任务............................................................................................................... - 2 - 1.2功能要求说明............................................................................................................... - 2 - 1.3设计课题总体方案介绍及工作原理说明................................................................... - 2 - 1.3.1汽车尾灯的设计思路与频率计算................................................................... - 2 - 1.3.2AT89C51芯片介绍....................................................................................... - 3 - 2、设计课题硬件系统的设计.................................................................................................... - 6 - 2.1设计课题硬件系统各模块功能简要介绍................................................................... - 6 - 2.1.1复位电路........................................................................................................... - 6 - 2.1.2时钟振荡电路................................................................................................... - 7 - 2.1.3独立键盘电路................................................................................................... - 7 - 2.1.4 LED显示电路................................................................................................. - 8 - 2.2设计课题电路原理图、PCB 图、元器件清单.......................................................... - 9 - 2.2.1 原理图............................................................................................................ - 9 - 2.2.2 PCB图........................................................................................................... - 9 - 2.2.3 仿真图............................................................................................................ - 9 - 2.2.4 元器件清单.................................................................................................... - 9 - 3、设计课题软件系统的设计.................................................................................................... - 9 - 3.1设计课题使用单片机资源的情况............................................................................... - 9 - 3.1.1 键盘设定........................................................................................................ - 9 - 3.1.2 发光二级管显示设定.................................................................................. - 10 - 3.2设计课题软件系统程序流程框图............................................................................. - 10 - 3.2.1 主程序流程图................................................................................................ - 10 - 3.2.2键扫程序流程图............................................................................................. - 10 - 3.2.3延时程序流程图............................................................................................. - 11 - 3.2.4 显示程序流程图............................................................................................ - 12 - 3.3设计课题软件系统程序清单..................................................................................... - 13 - 4、仿真结果与误差分析 ......................................................................................................... - 14 - 4.1汽车尾灯控制电路的使用说明................................................................................. - 14 - 4.2汽车尾灯控制仿真结果............................................................................................. - 14 - 4.3硬件调试 .................................................................................................................... - 15 - 4.4设计体会 .................................................................................................................... - 15 - 致谢 ....................................................................................................................................... - 16 - 参考文献 ................................................................................................................................... - 17 - 附录 ....................................................................................................................................... - 18 - 一、原理图........................................................................................................................ - 19 - 二、PCB图 ........................................................................................................................ - 19 - 三、仿真电路图................................................................................................................ - 20 - 四、设计课题元器件清单................................................................................................ - 20 - 五、程序清单.................................................................................................................... - 22 -

课程设计——汽车尾灯控制器的设计1

& 成绩:分 ××××系 课程设计报告书 课程设计名称电子产品综合设计 《 汽车尾灯控制器的设计 题目 学生姓名 专业 班级 : 指导教师 日期:2010年7月5日 {

摘要:本设计根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块、左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。 关键字:时钟信号,EDA工具,状态机 Abstract: This design is according to the computer state machine theory, using VHDL taillight design the various parts of the controller and use the EDA tools for simulation of each taillight controller design is divided into four modules: the clock frequency module, the taillight major control module, left lamp control module and right lamp control module after the formation of a car taillight integrated the input system clock signal and the signal related to vehicle control, vehicle tail lights will correctly display the current state of vehicle control. Key words: The clock signal, EDA tools, the computer state machine theory · -

汽车车灯控制电路

课程设计说明书 课程设计名称:电子技术课程设计 题目:汽车车灯控制电路 学生姓名:杰 成绩

专业:电气工程及其自动化学号:20 指导教师:吴昌东 日期:2016年7 月1日

汽车车灯控制电路 摘要:本方案设计了一个“汽车车灯控制电路”。“汽车车灯控制电路”作为电子技术基础课程的一个实践,采用74LS138译码器控制输出实现对六盏指示灯的控制,并配合74LS76JK触发器实现三进制循环计数器控制,即可轻易实现汽车正常运行和刹车的情况模拟。为实现汽车左右转弯时车灯循环闪烁的功能,需要配合74LS138译码器的另一输入S1即可实现。定时脉冲源部分由555定时器组成的多谐振荡器电路实现。 关键词:汽车;指示灯,74LS138,555定时器 Abstract: The design of this project is a " Automobile lamp control circuit", as a basic course of electronic technology practice. In order to realize the control of the six pilot lamp, automobile lamp control circuit uses the 74LS138 decoder to control the output, and with the 74LS76JK trigger to achieve three decimal cycle counter control, so that can easily achieve simulation of normal operation of the car and brake. In order to realize the function of cycle flashing of the lamp when the vehicle turns around,needs to cooperate with the another input S1 of the 74LS138 decoder . The timing pulse source is realized by the circuit of multivibrator circuit composed of 555 timer. Keyword:Automobile,pilot lamp,74LS138,555 timer

电子设计毕业设计-汽车尾灯控制电路设计论文资料-正文

1 引言 在日新月异的21世纪里,电子产品得到了迅速发展。许多电器设备都趋于人性化、智能化,这些电器设备大部分都含有CPU 控制器或者是单片机。单片机以其高可靠性、高性价比、低电压、低功耗等一系列优点,近几年得到迅猛发展和大范围推广,广泛应用于工业控制系统、通讯设备、日常消费类产品和玩具等。并且已经深入到工业生产的各个环节以及人民生活的各个方面,如车间流水线控制、自动化系统等、智能型家用电器(冰箱、空调、彩电)等。用单片机来控制的小型电器产品具有便携实用,操作简单的特点。 本文设计的汽车尾灯控制电路属于小型智能电子产品。利用单片机进行控制,实时时钟芯片进行记时,外加掉电存储电路和显示电路。此设计具有相当重要的现实意义和实用价值。 2 系统概述 本设计以AT89S52单片机为核心,构成单片机控制电路,完成对它们的自动调整和掉电保护。人机接口由四个按键来实现,用这四个按键对汽车左转,右转,停车和检测进行控制。。软件控制程序实现所有的功能。整机电路使用+5V 稳压电源,可稳定工作。系统框图如图2-1所示,其软硬件设计简单,可广泛应用于长时间工作的系统中。 图2-1 系统框图 3 方案选择 由于汽车尾灯控制电路的种类比较多,因此方案选择在设计中是至关重要的。正确地选择方案可以减小开发难度,缩短开发周期,降低成本,更快地将产品推向市场。 ** 方案1——基于AT89S52单片机的汽车尾灯控制电路设计 直接用AT89S52单片机来实现汽车尾灯控制电路设计。AT89S52是一种带8K 字节闪烁可编程可擦除只读存储器的低电压,高性能CMOS 8位微处理器,俗称单片机。单片机的可擦除只读存储器可以反复擦写1000余次。由于将多功能8位CPU 和闪烁存储器组合在单个芯片中,A TMEL 的A T89S52是一种高效微控制器,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。 用单片机来实现汽车尾灯控制电路设计,无须外接其他芯片,充分利用了单片机的资源。 ** 方案2——基于电子元件的汽车尾灯控制电路设计 人机接口 显示电路 软件控制程序 电源电路 单片机控制电路

课程设计:汽车尾灯控制电路word文档

西南科技大学电子技术课程设计 课程名称:电子技术课程设计 程序题目:汽车尾灯控制电路 姓名:何忠建左朝振 学号: 20045081 20045100 班级:自动 0405 班 指导教师:曹文 时间:2007.1.14 评分:

汽车尾灯控制电路 一.设计任务 设计一个汽车尾灯控制电路,汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按 右循环顺序点亮(R 1→R 1 R 2 →R 1 R 2 R 3 →全灭→R 1 )时间间隔0.5S(采用一个2HZ的 方波源);在左转弯时,左侧3个指示灯按左循环顺序点亮(L 1→L 1 L 2 →L 1 L 2 L 3 →全 灭→L 1);在临时刹车或者检测尾灯是否正常时,所有指示灯同时点亮(R 1 R 2 R 3 L 1 L 2 L 3 点亮);当汽车后退的时候所有尾灯循环点亮;当晚上行车的时候汽车尾灯的最下一个灯一直点亮。 二、设计条件 本设计基于学校电子技术实验后设计的,通过在电脑上利用各种软件设计而成,包括Quartus II 5.0,Multisim2001以及DXP2004等设计仿真软件。 三、设计要求 分析以上设计任务,由于汽车左转弯、右转弯、刹车、倒车、晚上行车时,所有灯点亮的次序和是否点亮是不同的,所以用74138译码器对输入的信号进行译码,从而得到一个低电平输出,再由这个低电平控制一个计数器74161,计数器输出为高电平时就点亮不同的尾灯(这里用发光二极管模拟),从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与给定条件间的关系,即逻辑功能表1所示。汽车尾灯控制电路设计总体框图如图1所示。 汽车尾灯和汽车运行状态表1-1

汽车车灯控制系统讲解

信息科学与技术学院微机原理与接口技术 课程设计报告 题目名称:汽车车灯控制系统 学生姓名:吴权权 学号: 2009082190 专业年级:计科09-1班 指导教师:裘祖旗 时间: 2012-1-12

目录 1.题目及要求 (1) 1.1 题目 (1) 1.2 要求 (1) 2.功能设计 (1) 2.1 汽车图形 (1) 2.2 汽车左转 (1) 2.3 汽车右转 (1) 2.4 汽车前进 (1) 2.5 汽车倒退 (1) 2.6 汽车停止 (1) 2.7 响铃模块 (1) 3.主流程图 (2) 4.详细设计 (3) 4.1 汽车图形显示 (3) 3.2 汽车停止、转向、倒车的指示 (3) 5.结果显示 (4) 5.总结 (7) 6、程序代码 (8)

1.题目及要求 1.1 题目 汽车车灯控制系统 1.2 要求 1)实现停止时的指示灯; 2)实现汽车转向时指示 3)实现倒车指示 4)扩展功能:实现倒车的声音提示 2.功能设计 2.1 汽车图形 功能:用汇编语言在dos下实现一个汽车的图形,和四盏灯。 2.2 汽车左转 功能:按’A’键,实现汽车的左转,左前、左后指示灯亮,右前、右后指示灯灭。 2.3 汽车右转 功能:按’D’键,实现汽车的右转,左前、左后指示灯灭,右前、右后指示灯亮。 2.4 汽车前进 功能:按’W’键,实现汽车的向前行驶,并且四盏指示灯全灭。 2.5 汽车倒退 功能:按’S’键,实现汽车的倒退行驶,并且后面2盏指示灯全亮,前面2盏指示灯全灭。 2.6 汽车停止 功能:按’B’键,实现汽车的停止,并且四盏指示灯全亮和倒车提示音。 2.7 响铃模块 功能:汽车停止时,提供倒车提示音。

数电课程设计报告 汽车尾灯控制电路设计

数字逻辑电路课程设计 一、设计要求 1、设计一个汽车尾灯控制电路,要求能根据汽车运行情况来控制汽车尾部左右两侧各有3个指示灯(用发光二极管模拟); 2、汽车正常运行时指示灯全灭; 3、汽车右转弯时,右侧3个指示灯按右循环顺序点亮; 4、汽车左转弯时,左侧3个指示灯按左循环顺序点亮; 在临时刹车时,所有指示灯同时闪烁。 二、总方案设计 (1)列出尾灯与汽车运行状态表如下:

(2)设计总框图 由于汽车左右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与各给定条件(S i、s o、CP、Q o) 的关系,即逻辑功能表如下表所示: 由上表得出在总体框图如下

(3)设计单元电路 三进制计数器电路 由74LS161构成如下所示: = 10riF 译码电路 由3-8先译码器74LS138和6个与非门构成。74LS138的三个输入端 A 2、A i 、A 。分别接Q i 、Q °,而Q i 、Q °是三进制计数器的输出端。 当S i =0、使能信号A=G=1,计数器的状态为00, 01, 10时;74LS138 对应的输出端Y 。,Y i ,丫2依次为0有效(Y 3,丫4,丫5信号为“1” 无效),即反相器G i ~G 3的输出端也依次为0,故指示灯D i ^D 2T D 3 按顺序点亮,示意汽车右转弯。若上述条件不变,而S i =i ,则74LSi8 对应的输出端丫4、 丫5、丫6依次为0有效,既反相器G 4~G 6 的输出端为0,故指示灯按D 4F5TD 6顺序点亮,示意汽车左转弯。 VCC SY wkn 555 VIRTUAL R11 R 1 5 V C

汽车尾灯控制电路

电子技术课程设计任务书

电子技术课程设计任务书 2.对课程设计成果的要求〔包括图表、实物等硬件要求〕: 设计电路,安装调试或仿真,分析实验结果,并写出设计说明书,语言流畅简洁,文字不得少于3500字。要求图纸布局合理,符合工程要求,使用Protel软件绘出原理图(SCH)和印制电路板(PCB),器件选择要有计算依据。 3.主要参考文献: [1]谢自美。电子线路设计、实验、测试[M]华中理工大学,2001 [2] 彭介华. 电子技术课程设计指导[M]. 北京:高等教育出版社,1997 [3] 毕满清. 电子技术实验与课程设计[M]. 北京:机械工业出版社,1995 [4] 陈明义. 电工电子技术课程设计指导[M]. 长沙:中南大学出版社,2002 [5] 陈永甫. 新编555集成电路应用800例[M]. 北京:电子工业出版社2000 [6] . 4.课程设计工作进度计划: 序号起止日期工作内容 1 2011-1-3 布置任务,教师讲解设计方法及要求 2 2011-1-4 学生查找阅读资料,并确定方案 3 2011-1-5 学生设计小组会议,讨论方案 4 2011-1-6~11 设计、仿真实验 5 2010-1-12~13 写说明书,小组讨论 6 2010-1-14 答辩 指导教师苏泽光日期: 2010 年 12 月日

目录 引言 (1) 1 设计方案 (2) 汽车尾灯电路实际设计要求 (2) 设计原理及原理框图 (2) 2 单元电路设计 (2) 时钟脉冲电路 (2) 开关控制电路 (4) 三进制计数器 (5) 译码、显示驱动电路 (6) 3 性能测试与仿真 (7) 仿真软件的简单介绍 (7) Protel 99SE简单介绍 (7) IN Multisim10简单介绍 (8) 利用Multisim仿真与测试 (9) 原理图(SCH)和电路板(PCB) (14) 4结论 (15) 参考文献 (17) 摘要 汽车行驶时会有正常行驶、左转弯、右转弯和刹车四种情况,针对这四种情况

汽车尾灯课程设计报告

课程设计报告 课程名称:电子技术课程设计 设计题目:汽车尾灯控制器 专业:电气工程及其自动化 班级: 2009 学号 学生XX:李博 时间:2012 年 2月 27 日~3月 2 日 ―――――――以下指导教师填写―――――分项成绩:出勤成品答辩及考核 总成绩:总分成绩 指导教师:

课程设计报告要求和成绩评定 1报告基本内容 前言,目录,任务书,正文,参考文献。 2 书写用纸 A4复印纸。 3 书写要求 主要部分手工双面或单面书写(计算机绘图等指定内容可打印),字迹清楚,每页20行左右,每行30字左右,排列整齐;页码居中写在页面下方;纸面上下左右4侧边距均为2厘米。 前言和目录合写作为第一页;参考文献接正文书写,不另起页。 公式单占一行居中书写;插图要有图号和图题,图号和图题书写在插图下方;表格要有表号和表题,表号和表题在表格上方书写;物理量单位和符号、参考文献引用和书写以及图纸绘制要符合有关标准规定;有关细节可参考我院《毕业设计成品规X》。 4 装订 装订顺序:封面,前言和目录,任务书,正文及参考文献,图纸,封底;左边为装订边,三钉装订,中间钉反向装订。 5 成绩评定 课程设计成绩由出勤(10分)、报告书写规X性及成品[注]质量(30分)、答辩及考核(60分)三部分成绩合成后折合为优秀(90-100分)、良好(80-89分)、中(70-79分)、及格(60-69分)或不及格(60分以下)。 注:成品含义由课程设计任务书规定,除课程设计报告外,还可以包括图纸、计算机程序、制作品、实验或测试方案等。

前言 在当今社会中,数字时代已经成为一种现实,并且时刻影响着人们的日常生活,作为数字化的基础——数字电子电路,无疑是至关重要的。数字电路课程设计便是本课程的一种很好的实践,更是加深电子技术理论理解的重要途径,同时有助于培养我们严谨,探索的科学精神。 “汽车尾灯控制电路”作为电子技术基础课程的一个实践,利用基本的芯片:双向移位寄存器74LS194,二输入与非门74LS00、四输入与非门74LS20、六反相器74LS04、3-8译码器,555定时器及电阻电容进行搭建。综合数字电路和模拟电路的知识,提升了我们理实际解决问题的能力,有助于增强我们将理论转为实际的意识,是一种很好的锻炼和学习方式。 在实际的设计过程中得到了尚志刚,苏士美等老师的鼎力相助,谢谢他们的无私的指导,“汽车尾灯控制电路”才得以顺利完成。再次祝他们工作顺利,万事如意。 由于时间紧迫和水平有限,本课程设计报告还存在瑕疵,恳请老师提出指正意见。 作者:李博 2012年3月2日

汽车尾灯控制电路设计说明书

中北大学 课程设计说明书 学生姓名:学号: 学院:信息与通信工程学院 专业:光电信息科学与工程 题目:汽车尾灯控制电路设计 指导教师:职称: 指导教师:职称: 201X年 X月X日

中北大学 课程设计任务书201X/201X 学年第一学期 学院:信息与通信工程学院专业:光电信息科学与工程学生姓名:学号: 课程设计题目:汽车尾灯控制电路设计起迄日期:X月X日~X月X日课程设计地点:中北大学 指导教师: 学科管理部主任: 下达任务书日期: 201X年X月X日

1.设计目的: 本课程设计主要针对模拟电子技术和数字电子技术课程要求,培养学生在查阅资料的基础上,进行实用电路设计、计算、仿真、调试等多个环节的综合能力,同时培养学生用课程中所学的理论独立地解决实际问题的能力。另外还培养学生用专业的、简洁的文字,清晰的图表来表达自己设计思想的能力。 2.设计内容和要求(包括原始数据、技术参数、条件、设计要求等): (假设汽车尾部左右各有3只指示灯,汽车正常运行时全部熄灭;右转时右侧3只灯依次按右循环点亮;左转时左侧3只灯依次按左循环点亮;刹车时所有灯同时闪烁。)(1)掌握车灯右循环电路的设计、仿真与调试; (2)掌握车灯左循环电路的设计、仿真与调试; (3)掌握延时电路的设计、仿真与调试,车灯循环点亮和闪烁时,点亮和熄灭时间都为2秒,精度大于10%; (4)掌握状态切换电路的设计、仿真与调试; (5)掌握方案设计与论证; (6)掌握用相关软件进行电路图设计、仿真,以及对仿真结果的分析、总结。 3.设计工作任务及工作量的要求〔包括课程设计计算说明书(论文)、图纸、实物样品等〕: (1)提供核心器件的工作原理与应用介绍; (2)提供用Protel99/DXP设计的电路原理图,印刷板电路图选做; (3)提供用Multisim、MaxPlus、Proteus等其他软件对电路的仿真结果与分析; (4)提供符合规定要求的课程设计说明书,图、表清晰; (5)提供参考文献不少于三篇,且必须是相关的参考文献。

汽车尾灯控制电路

汽车尾灯控制电路 设计者:

汽车尾灯控制电路 内容摘要 本课题设计一个汽车尾灯的控制电路。 汽车尾部左右两侧各有3个指示灯。当接通左转、右转、刹车和检查时,指示灯按照指定要求闪烁。 一、设计内容及要求 本课题设计一个汽车尾灯的控制电路。该电路由四个电键控制,分别对应着左转、右转、刹车和检查功能。 当接通左转或右转电键时,左侧或右侧的3个汽车尾灯按照左循环或右循环的顺序依次点亮。 当接通刹车电键时,汽车所有的尾灯同时闪烁。 当接通检查电键时,汽车所有的尾灯点亮。 二、电路的工作原理 经过以上所述的设计内容及要求的分析,可以将电路分为以下几部分:首先,通过555定时器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给D触发器和刹车时的输入信号。 3个D触发器用于产生三端输出的001、010、100的循环信号,此信号提供左转、右转的原始信号。 左转、右转的原始信号通过6个与门以及电键提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。这部分电路起到信号分拣的作用。 分拣之后的信号通过或门,实现与刹车、检查电键信号的之间选择。最终得到的信号即可输出到发光二极管上,实现所需功能。 三、系统方案的选择 在设计本电路时,一共考虑过三种方案。这三种方案的不同点在于产

生001、010、100三种信号的方法不同。下面简单的介绍一下这三种方案: 第一种方案:该方案通过74LS160计数器构成能产生01、10、11三种状态循环的信号,然后再通过逻辑电路将其转换成所需的001、010、100三种左转或右转的信号。0 设:74LS160输出的两位信号从高位到低位分别是B A ,输出信号为Z Y X 。 则 经过 ) ()(AB B Z AB A Y AB X === 的逻辑运算便可实现所需的功能。 电路图如下: 但是该方案在模拟时发现,由于计数器的竞争冒险的存在,使得尾灯在闪烁时总会出现不自然的中间过程。 第二种方案:通过74LS194移位寄存器来产生001、010、100的三种

课程设计——汽车尾灯控制器的设计1

成绩:分 ××××系 课程设计报告书 课程设计名称电子产品综合设计 题目汽车尾灯控制器的设计 学生姓名 专业 班级 指导教师 日期:2010年7月5日

摘要:本设计根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块、左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。 关键字:时钟信号,EDA工具,状态机 Abstract: This design is according to the computer state machine theory, using VHDL taillight design the various parts of the controller and use the EDA tools for simulation of each module.Car taillight controller design is divided into four modules: the clock frequency module, the taillight major control module, left lamp control module and right lamp control module.Each module after the formation of a car taillight integrated controller.Through the input system clock signal and the signal related to vehicle control, vehicle tail lights will correctly display the current state of vehicle control. Key words: The clock signal, EDA tools, the computer state machine theory

汽车车灯控制系统DOC

信息科学与技术学院微机原理与接口技术课程设计报告 题目名称:汽车车灯控制系统

目录 1.题目及要求 (1) 1.1 题目 (1) 1.2 要求 (1) 2.功能设计 (1) 2.1 汽车图形 (1) 2.2 汽车左转 (1) 2.3 汽车右转 (1) 2.4 汽车前进 (1) 2.5 汽车倒退 (1) 2.6 汽车停止 (1) 2.7 响铃模块 (1) 3.主流程图 (2) 4.详细设计 (3) 4.1 汽车图形显示 (3) 3.2 汽车停止、转向、倒车的指示 (3) 5.结果显示 (4) 5.总结 (7) 6、程序代码 (8)

1.题目及要求 1.1 题目 汽车车灯控制系统 1.2 要求 1)实现停止时的指示灯; 2)实现汽车转向时指示 3)实现倒车指示 4)扩展功能:实现倒车的声音提示 2.功能设计 2.1 汽车图形 功能:用汇编语言在dos下实现一个汽车的图形,和四盏灯。 2.2 汽车左转 功能:按’A’键,实现汽车的左转,左前、左后指示灯亮,右前、右后指示灯灭。 2.3 汽车右转 功能:按’D’键,实现汽车的右转,左前、左后指示灯灭,右前、右后指示灯亮。 2.4 汽车前进 功能:按’W’键,实现汽车的向前行驶,并且四盏指示灯全灭。 2.5 汽车倒退 功能:按’S’键,实现汽车的倒退行驶,并且后面2盏指示灯全亮,前面2盏指示灯全灭。 2.6 汽车停止 功能:按’B’键,实现汽车的停止,并且四盏指示灯全亮和倒车提示音。 2.7 响铃模块 功能:汽车停止时,提供倒车提示音。

3.主流程图 No Yes Yes RET No Yes RET No Yes RET No Yes RET No Yes RET No 非定义字符 RET Yes 开始 与W 比较 有无按健 退出 等待 与A 比较 与D 比较 调用DRAW_W 调用DRAW_A 调用DRAW_D 与S 比较 调用DRAW_S 和响铃函数 与B 比较 调用STOP 与空格比较

汽车尾灯控制电路设计及仿真报告

汽车尾灯控制电路设计及仿真报告 小组成员: 题目要求: 3.55 小汽车左、右两侧各有3只尾灯,当汽车左转弯时,左侧的尾灯按下表所示方式周期性亮灭;右转弯时,右侧尾灯也按此规律变化;当汽车制动停车时,6只尾灯同时亮;若在转弯前制动,则表示转弯的尾灯正常动作,另一侧的尾灯全亮。试设计上述功能的小汽车尾灯控制电路。 Present State Next State A B C A B C 1 1 1 0 1 0 0 1 0 1 0 1 1 0 1 0 0 0 0 0 0 1 1 1 *1表示灯亮,0表示灯灭。 功能分析: (Ⅰ) 根据题目要求,设计电路的输入端有三个,为TL、TR、ST, 分别代表左转弯、右转弯及制动(约定三个输入为1时,代表发出上述信号)。输出端有六个,A L、B L、C L代表左侧3个尾灯,A R、B R、C R代表右侧3个尾灯(约定1表示灯亮,0表示灯灭),经观察发现,两侧A、C尾灯在任何状态下亮灭状态同步,所以这两个灯可以用一个输出信号控制。 (Ⅱ) 车尾灯的状态可以根据输入信号分为两类。 一是转向信号和制动信号只有其中之一作用(由实际情况可知,左转弯,右转弯信号不可同时发出,即TL、TR、ST三者只有一个为1,其余为0),此类型对应的实际情况包含三种,分别为左转弯,右转弯和刹车制动。当只发出一侧的转弯信号时,该侧车尾灯呈现如题目要求的循环亮灭;当只发出制动信号时,两侧车尾灯全部点亮。 二是转向信号和制动信号两者同时起作用,此类型对应的实际情况包含两种,分别为直行和转弯(前)制动。当转向信号和制动信号都没有发出时,即TL、TR、ST均为0时,所有尾灯均熄灭。当转向信号其一和制动信号同时发出时,发出转向信号的一侧车尾灯呈现如题目要求的循环亮灭,另一侧车尾灯全部点亮。 关于转弯前制动,题目中并没有明确说明此时的输入信号是怎样的情况,经小组讨论,我们一致决定,转弯前制动代表转向信号其一和制动信号同时发出。 根据上述功能分析,设计电路的功能表如下表所示。 类别输入信号输出信号

EDA汽车尾灯控制课程设计报告

《EDA技术应用》 课程设计报告 专业:通信工程 班级:09312班 姓名:某某某 指导教师:杨祖芳曾凡忠 2012年05月20日

目录 1引言 (1) 1.1设计的目的 (1) 1.2设计的基本内容 (1) 2 EDA、VHDL简介 (1) 2.1EDA技术 (1) 2.2硬件描述语言(VHDL) (2) 3汽车尾灯控制器的设计过程 (3) 3.1系统需求分析 (3) 3.2汽车尾灯控制器的工作原理 (3) 3.3各组成模块原理及程序 (4) 4系统仿真 (9) 4.1分频模块仿真及分析 (9) 4.2汽车尾灯主控模块仿真及分析 (10) 4.3左边灯控制模块仿真及分析 (11) 4.4右边灯控制模块仿真及分析 (12) 4.5整个系统仿真及分析 (13) 结束语 (15) 指导老师意见 (16) 参考书目 (16)

1引言 随着人们生活水平的提高,汽车的消费量越来越大。因为人们也越来越忙,不管是夜晚还是阴雨、大雾等天气原因的影响,人们都开着车在纵横交错的马路上行驶。为了提高人们因夜晚或因天气原因在纵横交错的马路上驾驶的安全系数,也是为了减少交通事故的发生。我们采用了先进的EDA技术,Quartus Ⅱ工作平台和VHDL语言,设计了一种基于FPGA的汽车尾灯控制系统,并对系统进行了仿真机验证。这一控制电路,结构简单、性能稳定、操作方便、抗干扰能力强。将它应用于现代汽车,不受黑夜或大雾、阴雨天气因素的影响,可以提高安全行驶,避免交通事故的发生。真正的让消费者驾驶汽车的方便和安全。 1.1 设计的目的 其一、设计一个能适应现代汽车智能化发展要求的汽车尾灯控制电路。改善以前的汽车尾灯控制系统,降低汽车尾灯控制器的生产成本。其二、学好VHDL 这门硬件描述语言,加深对VHDL语言知识的理解和掌握,提高学习能力和创新能力,使自己适应不断发展的21世纪。 1.2 设计的基本内容 根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。 2 EDA、VHDL简介 2.1 EDA技术 EDA技术的概念 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

汽车尾灯控制电路

课程设计说明书 课程设计名称:电子课程设计 课程设计题目:汽车尾灯控制电路 学院名称:信息工程学院 专业:计算机科学与技术班级: 学号:姓名: 评分:教师: 20 10 年 9 月 15 日 摘要 随着科学技术的全方面发展,汽车制造工艺得到了长足的进步,使得汽车

已经成为现代人们主要的交通工具。人们了解到他们便捷、快速之余,也同时意识到汽车潜在的安全隐患,所以对具有汽车行驶状况提示作用的汽车尾灯进行研究是非常必要的。 本次课题设计的目的:设计汽车尾灯控制电路,由两个开关控制实现汽车正常运行、右转弯、左转弯和刹车时尾灯的情况。尾灯分别由左右各三个灯泡组成,实验中采用发光二极管显示。这样可以使得尾灯更清楚明显更加人性化。本次设计是关于汽车尾灯控制电路的设计,根据汽车尾灯显示状态与汽车运行状态的关系,分析并设计电路。整个电路由控制电路,三进制计数器,译码与显示驱动电路,尾灯状态显示4部分组成。分析了使能控制信号与模式控制变量、时钟脉冲的关系,运用J—K触发器、3—8译码器等实现了根据汽车的运行状态,指示灯显示4种不同的模式。本文详细的介绍了电路的设计思路及其实现过程,包括了整个设计流程。 通过上述电路组成使得汽车正常行驶时尾灯全灭,左转弯时左边三个指示灯顺序点亮,右转弯时右边三个指示灯顺序点亮,紧急刹车时左右两边指示灯同时闪烁,从而完成整个汽车尾灯控制电路的设计。 经过一系列的分析、仿真模拟等准备工作,本次课题设计基本都实现了全部的设计要求。 关键字:汽车尾灯、循环闪烁、译码、脉冲源 目录 前言 (4)

第一章设计内容及要求 (5) 第二章系统设计方案选择 2.1 方案一 (6) 2.2 方案二 (7) 第三章系统组成及工作原理 3.1 系统组成 (8) 3.2 工作原理 (9) 第四章单元电路设计、参数计算、器件选择 4.1 CP脉冲电路的设计......................................`10 4.2 三进制计数器电路设计. (11) 4.3 开关控制电路设计 (12) 4.4译码及显示驱动电路 (13) 第五章实验调试及测试结果与分析 (15) 第六章实验总结及收获 (16) 参考文献 (17) 附录一 (18) 附录二 (21) 附录三 (22) 前言 汽车技术的发展趋势是电子化、智能化、信息化和集成化当前国际汽车

相关主题
文本预览
相关文档 最新文档