当前位置:文档之家› 讲义 第10章 组合逻辑电路

讲义 第10章 组合逻辑电路

讲义 第10章 组合逻辑电路
讲义 第10章 组合逻辑电路

第10章组合逻辑电路

(1)数字电路分类:①组合逻辑电路(简称组合电路);②时序逻辑电路(简称时序电路,第10章介绍)。

(2)组合逻辑电路:任何时刻电路的输出状态只取决于该时刻的输入状态,而与该时刻以前的电路状态无关。(例如:编码器、译码器、全加器等等)

(组合逻辑电路的基本单元电路是门电路,描述组合逻辑电路逻辑功能的方法主要有:逻辑表达式、真值表和工作波形图。)

10.1 组合逻辑电路的分析和设计

10.1.1 组合逻辑电路的分析

组合电路分析:就是根据已知的组合逻辑电路,找出组合电路的输出与输入的关系,指出电路所能实现的逻辑功能。(电路图已知,求真值表。)

分析步骤:

(1)由逻辑图写出输出端的逻辑表达式;

(2)运用逻辑代数将所得逻辑函数表达式化简或变换;

(3)列出输入输出关系的逻辑状态表(即真值表);

(4)分析电路的逻辑功能。

(书上206页,例题10-1)

10.1.2 组合逻辑电路的设计

组合电路设计的含义:组合电路的“设计”(或称“综合”)与组合电路的分析方法相反,它是根据要完成的逻辑功能,画出实现该功能的最简逻辑电路。

最简的含义:器件数最少,器件种类最少,连线最少。

组合逻辑电路的设计步骤:

(1)根据逻辑问题的逻辑关系,确定输入变量和输出变量;

(引起事件的原因定为输入变量;事件的结果定为输出变量。)

(2)根据给定的因果关系写出逻辑真值表;

(3)由真值表写出逻辑函数表达式;

(4)根据所用的器件类型,将函数化简,把变量变换成所需要的形式;

(5)根据化简或者变化后的逻辑表达式画出电路图。

注意:在设计当中,应该根据所用门电路的类型来化简逻辑表达式。

(例如:用与非门设计时,应把逻辑函数表达式变换为最简的与非表达式。)

(书上208页,例题10-3、10-4、10-5、10-6)

10.2 常用集成组合逻辑电路

(在数字电路中,常用的组合电路有加法器、编码器、译码器、数据分配器和多路选择器等。下面几节分别介绍这几种典型组合逻辑电路的基本结构、工作原理和使用方法。)

10.2.1 编码器

在数字电路中,为了区分一系列不同的事物,将其中的每个事物用一系列逻辑“0”和逻辑“1”按一定规律编排起来,组成不同的代码来表示,这就是编码的含意。)

(1)编码:把二进制码按一定规律编排,使每组代码具有一特定的含义,称为编码。 (2)编码器:完成编码功能的电路统称为编码器。 1、二进制编码器

(1)普通二进制编码器:用n 位二进制代码对2n

N =个信号进行编码的电路称为二进制编码器。 如图10-13所示,为与非门组成的3位二进制编码器的电路图。又称8线—3线编码器。

① 二进制编码器对输入信号的规定:在任何时刻只允许一路有效输入信号到达编码器的输入端,而不允许有两个或两个以上的有效输入信号同时出现在编码器的输入端。 ② 由电路图可知,此编码器有8个输入0

7Y Y ,3个输出可A B C 、、。

③ 根据电路图可以得到各个输出的表达式:4567

23671357A I I I I B I I I I C I I I I

=+++??

=+++??=+++?

(如果我们规定,在任一时刻只能有一路输入端有信号到来,其余输入端均无信号到达。有信号用“1”表示,无信号用“0”表示。则图10-13所示的逻辑电路可完成八路输入信号的编码(全0为0Y 输入有效)。) ④ 表10-6给出了图10-13所示二进制编码器的的真值表。 ⑤ 0

7Y Y 八路输入信号编码分别为000、001、010、011、100、101、110、111。

(它用3位二进制代码对8个输入信号进行编码,所以图10-13所示的逻辑电路为3位二进制普通编码器,又称为8线—3线编码器)

(当编码器的输入端输入信号不止一个时,输出为全1,编码器的输出发生混乱。这是因为普通编码器不允许两个及以上的输入信号同时有效的缘故。可在实际应用中,往往有二个输入端或者二个以上的输入端有信号同时到达编码器,因此,普通编码器缺乏实用性。解决的方法是采用优先编码。) (2)二进制优先编码器(74LS148(T4148):8线—3线)

① 优先编码:所谓优先编码,就是将所有的输入信号按优先顺序进行排队。当几个输入信号同时出现时,只对其中优先级别最高的一个进行编码。实现优先编码的电路称为优先编码器。

(也就是说,允许几个信号同时有效,但电路只对其中优先级别高的信号进行编码,而对其它优先级别低的信号不予理睬。)

② 74LS148优先编码器以低电平输入为有效信号,各输入信号按照70I I →优 先级逐渐降低,7I 的优先级最高,0I 的优先级最低。 ③ 74LS148芯片的引脚图如图10-14所示。

1)紧靠四边形的小圆圈表示“低电平为有效信号”。 2)四边形内部标注为引脚功能说明。 3)四边形外部标准为引脚编号。

4)T S :为选通输入端,低电平有效。当0T S =时允许编码,芯片工作; 当1T S =时输入、输出及控制引脚EX Y 、S Y 均被封锁,编码被静止。 (1T S =时,输出均被锁定在高电平)

5)S Y :为选通输出端,只有当所有的编码输入都为高电平,且0T S =时,0S Y =表示电路工作,但无编码信号输入,级连时可以扩展优先编码功能。

6)EX Y :为优先扩展输出端,级连时可作输出位的扩展端。

只要有任何一个编码输入,且0T S =时,0EX Y =表示电路工作,有编码信号输入

7)级联应用时,高位片的S Y 端与低位片的T S 端连接起来,高位片的EX Y 可作为高位的编码输出位。 74LS148芯片的逻辑功能如表10-7所示,为二进制优先编码器的真值表

74LS148为二进制优先编码器,其输入输出都是低电平有效。所以,输出为十进制数码0

7对应的二进制码的反码。

例如:数码“0”的二进制码为“000”,而74LS148编码器输出为反码“111”。也就是说,当输入为数码“0”(即0I 为0)时,输出为“111”。 (要想0I 有效,其它引脚1

7I I 都必须接高电平,使其无效,因为0I 引脚的优先级别最低。)

(需要大家掌握的就是74LS148芯片的逻辑功能、真值表和它的芯片引脚。) 2、二—十进制编码器

(1)常用二—十进制编码

二—十进制码(又称BCD 码):用二进制码表示一位十进制码的编码称为BCD 码。 常用的BCD 码有8421码(8421分别代表位权)如数字5的8421码为“0101”。 (表10-8给出了几种常见的BCD 码)

其余码制还有2421码,其权值依次为2、4、2、1;余3码,由8421BCD 码每个代码加0011得到;格雷码是一种循环码,其特点是任意相邻的两个数码,仅有一位代码不同,其它位相同。

(2)8421BCD 编码器

① 8421BCD 编码器:将十进制的十个数码09编成二进制代码的电路。

如图10-15所示为8421BCD 编码器的框图。

(8421BCD 编码器的工作原理与二进制编码器类似。) ② 由框图可知,此编码器有10个输入0

9I I (对应十进制的10个数码09),

4个输出为A B C D 、、、。

8421BCD 码编码器编码表如表10-9所示。

③ 根据表10-9可以得到各个输出的表达式:135792367

456789A I I I I I B I I I I C I I I I D I I =++++??=+++??=+++??=+?

④ 09I I 十路输入信号(数码09)编码分别为0000、0001、0010、0011、0100、0101、0110、0111、1000、

1001。

(它用4位二进制代码对10个(0

9I I )输入信号进行编码,所以二—十进制编码器又称为10//4线编码器。)

(实际上四位二进制代码可以表示十六种不同的状态,其中任何十种状态都可以表示09十个数码,最常用的是

用8421码来对十进制码进行编码。)

(3)二—十进制优先编码器(74LS147:10线—4线)

① 74LS147优先编码器以低电平输入为有效信号,各输入信号按照90I I → 优先级逐渐降低,9I 的优先级最高,0I 的优先级最低。 ② 74LS147芯片的引脚图如图10-16所示。

1)紧靠四边形的小圆圈表示“低电平为有效信号”。 2)四边形内部标注为引脚功能说明。 3)四边形外部标准为引脚编号。

4)芯片第16脚为电源,第8脚为地,第15脚为空脚。 ③ 74LS147芯片的逻辑功能如表10-10所示,为 二—十进制优先编码器的真值表。

(需要大家掌握的就是74LS147芯片的逻辑功能、真 值表和它的芯片引脚。)

74LS147为二—十进制8421BCD 优先编码器,其输 入输出都是低电平有效。所以,输出为十进制数码

09对应的8421BCD 码的反码。

例如:数码“0”的8421BCD 码为“0000”,而74LS147编码器输出为反码“1111”。也就是说,当输入为数码“0”(即0I 为0)时,输出为“1111”。 (要想0I 有效,其它引脚19I I 都必须接高电平,使其无效,因为0I 引脚的优先级别最低。)

10.2.2 译码器

(1)译码器的功能:译码是编码的逆过程,即将每个二进制代码赋予的含义翻译出来,给出相应的输出信号。实

现译码操作的电路称为译码器。

(或者说,译码器是可以将输入二进制代码的状态翻译成输出信号,以表示原来含义的电路。) (2)译码器分类

① 变量译码器:表示输入变量状态的组合电路,如二进制译码器。

② 码制变化译码器:用于一个数据的不同代码之间的相互变换,如二—十进制译码器。 ③ 显示译码器:将数字、文字、符号的代码译成数字、文字、符号的电路。 1、二进制译码器

(1)二进制译码器:二进制译码器的输入是一组二进制代码,输出则是一组高、低电平信号。它具有n 输入端,2n 个输出端。对应每一组输入代码,只有其中一个输出端为有效电平,其余输出端为无效电平。 (表10-11给出了二进制译码器真值表,这是一个3线—8线的译码器。)

二进制译码器是常用组合逻辑芯片,应用十分广泛,相应的集成译码器产品也较多,按照输入、输出线的多少有二

-四译码器、三-八译码器、四-十六译码器等。可通过74LS138来理解 (2)三—八译码器74LS138 (图10-18给出了74LS138芯片的引脚图) ① 2A 、1A 、0A 为译码器的输入端(又称为地址端),0

7Y Y 为译码器的输出端。

② 1S 、2S 、3S 为控制端:当11S =,230S S ==时,译码器工作。

③ 译码器工作时,其输出函数07Y Y 的表达式为:i i Y m =

(0

7Y Y 为2A 、1A 、0A 三个变量的全部最小项的译码输出,所以将这种译

码器称为最小项译码器。) ④74LS138的真值表

(书上215页,例题10-7、例题10-8) 例题10-7

分析:整个级联电路的控制端为S ,它的取值将决定芯片的工作状态。 (1)1S =时

① 片1:211S S =?=,因为只有当1T S =,120S S ==时,译码器工作,所以片1禁止工作; ② 片2:1211S S S =?==,因为只有当1T S =,120S S ==时,译码器工作,所以片2禁止工作 结论:1S =时,级联电路被禁止

(2)0S =,30A =时

① 片1:200S S =?=,当高位3100A S =?=,此时片1工作

② 片2:1200S S S =?==,但由于300T A S =?=,所以片2禁止工作 结论:当0S =,30A =时,片1工作,片2禁止工作。此时,输出0

7Z Z 是

2100A A A 的译码(即00000111)。

(3)0S =,31A =时

① 片1:200S S =?=,当高位3111A S =?=,此时片1禁止 ② 片2:1200S S S =?==,且311T A S =?=,所以片2工作 结论:当0S =,31A =时,片1禁止工作,片2工作。此时,输出815Z Z 是2101A A A 的译码(即10001111)

。 例题10-8:用译码器实现组合逻辑电路

n 位二进制译码器的输出给出了n 变量的全部最小项,利用附加电路可获得任何形式输入变量数不大于n 的组合逻

辑函数。

注意:由于译码器输出端都是低电平有效,所以应该把得到的最小项变换为非的形式i i Y m = 2、二—十进制译码器

(1)二—十进制译码器:将十进制数的二进制编码即BCD 码翻译成对应的十个输出信号,称为二—十进制译码器,也叫做4线—10线译码器。

(2)四—十译码器74LS42(图10-21给出了74LS42译码器的逻辑图。) ① 3A 、2A 、1A 、0A 为译码器的输入端(又称为地址端),09Y Y 为译码器的输出端。

② 译码器工作时,其输出函数0

9Y Y 的表达式为:i i Y m =

(四—十译码器与二进制译码器基本类似,以低电平作为有效输出) 注意:74LS42的功能:只是将前十种编码(0

9)译码,对1015的编码,译码输出全为1。

(3)二—十进制译码器的输出表达式,根据逻辑图可得 (4)二—十进制译码器的真值表(如表10-12所示) 3、显示译码器

(在数字电路中,常常需要把运算结果用十进制 数显示出来,这就要用显示译码器)

(用来驱动各种显示器件,把用二进制代码表示的数字、文字、符号翻译成人们习惯的形式直观显示出来的电路称为显示译码器。数码显示管是常用的显示器件之一。) (1)半导体数码管?

常用的数码显示管有半导体发光二极管构成的LED 和液晶数码管LCD 两类。(我们常见的都是LED ) ① 数码管:数码管是显示数码的常见器件。它是用某些特殊的半导体材料分段式封装而成。

(用磷砷化镓作成的PN 结,当外加正向电压时,可以将电能转换成光能,从而发出清晰悦目的光线。)

(图10-22为数码管的管脚排列图,图,中间两个引脚为八个LED 的公共端。由于二极管具有单向导电性,因此,数码管具有共阴、共阳两种类型) ② 共阳数码管和共阴数码管

各个二极管的阳极相互连接组成公共端,为共阳数码管;各个二极管的阴极相互连接组成公共端,为共阴数码管。 (LED 数码管将十进制数码分成七段,每一段都是一个发光二极管,七个发光二极管有共阴极和共阳极两种接法。共阴极数码管某一段接高电平时发光,共阳数码管某一段接低电平时发光。) (2)七段显示译码器

① 七段显示译码器:七段显示译码器的功能是将8421BCD 代码译成对应的数码管的七个字段信号,驱动数码管,显示出相应的十进制数码?。

(七段显示译码驱动芯片种类较多,驱动共阳数码管的译码芯片有74LS47、74LS247等;驱动共阴数码管的译码芯片有74LS48、74LS248。我们通过74LS48来理解)

② 驱动共阴数码管的译码芯片74LS48 (表给出了74LS48的功能表(真值表)) 1)当1BI RBI LT ===时,74LS48正常译码09 ; 2)当1BI LT ==,0RBI =时,74LS48译码为19

③ 74LS48与数码管的联接

将74LS48芯片的BI 、RBI 、LT 接电源,七个输出接数码管的对应管脚,则构成了七段显示译码器。(如图所示)

74LS48真值表 74LS48与数码管的联接图

例如:当输入32100001A A A A =时,74LS48将产生让共阴 数码管显示数字1的七段字型码“0110000”,即输出 引脚B 、C 为1,其余为0。

结论:七段显示译码器每个输入代码对应的输出不是某 一根输出线上的高、低电平,而是另一个七位的代码。 (右图为七段显示译码器状态表,给出了显示的代码。) 10.2.3 运算电路

数字运算电路:实现算术运算和逻辑运算的电路统称为数字运算电路。

算术运算电路一般执行加、减、乘、除四则运算;逻辑运算电路实现逻辑和、逻辑乘、逻辑非等逻辑运算。 1、加法器

(1)半加器和全加器

① 一位半加器:不考虑来自低位的进位的两个一位的二进制数的加法 运算,称为一位半加运算。实现一位半加运算的电路为一位半加器。

(半加器的电路图如图所示,图10-23(a )给出了一位半加器的逻辑符号) 1)输入和输出

输入端i A 、i B :i A 、i B 为两个一位的二进制加数和被加数。

输出端i S 、i C :i S 为相加后的和数(即本位和);i C 为向高一位的进位数(即进位位)。 2)真值表 (书上没有) 3)由真值表写出输出表达式i i i i i i i i i i S A B A B A B C A B ?=+=⊕?

?

=??

② 一位全加器:考虑来自低位进位数的两个一位二进制数的加法运算, 称为全加运算。实现全加运算的电路称为全加器。 (图10-23(b )给出了一位全加器的逻辑符号) 1)输入和输出

输入端i A 、i B 、1i CI -:i A 、i B 为两个一位的二进制加数和被加数;

1i CI -为来自低位的进位数。

输出端i S 、i C :i S 为相加后的和数(即本位全加和);i C 为向 高一位的进位数,(即进位位)。 2)真值表 (书上没有) 3)由真值表写出输出表达式

① ()()S ABCI ABCI ABCI ABCI AB AB CI AB AB CI =+++=+++

设S AB AB A B '=+=⊕为半加器的本位和,则有:()()S AB AB CI AB AB CI S CI S CI S CI '''=+++=+=⊕ (()()()()S AB AB AB AB A B A B A B A B AA AB AB BB AB AB '=+==++=++=+++=+) ② ()()()CO ABCI ABCI ABCI ABCI AB AB CI AB CI CI A B CI AB S CI AB '=+++=+++=⊕+=+ 我们也可以从另一个角度理解全加器:一位全加器由两个一位半加器加一个 或门构成,其联接方法如图所示。

即用半加器1将i A 、i B 两个数相加,其和再与1i CI -用半加器2相加所得的和 为最终的和S ;半加器1的进位与半加器2的进位之和构成向高一位的进位CO 。 (2)集成加法器

一位全加器的加法过程为(忽略或门运算时间): 半加器1相加完成后再由半加器1的结果及低位进位由半加器2相加并最终完成全加。

可按照这种方法用全加器构成多位加法器。这种加法器高位的运算需要等待低位运算所产生的进位才可求得,我们称它为串行进位加法器

串行进位加法器电路结构比较简单。但这种电路的最大缺点是运算速度慢,仅在对运算速度要求不高的设备中采用。

为提高运算速度,人们又设计了超前进位的加法器。

超前进位加法器:在做加法运算时,各位数的进位信号由输入的二进制数直接产生的加法器 10.2.4 数据选择器

(1)数据选择器:在多路数据传送过程中,往往需要将多路数据中任意一路信号挑选出来,能实现这种逻辑功能的电路称为数据选择器(或者称为多路选择器、多路开关)。 (2)四选一数据选择器

数据选择器可实现将数据源传来的数据分配到不同通道上,因此它类似于一个单刀多掷开关,如图所示。

图中,

0D 、1D 、2D 、3D 为4路输入信号,1A 、0A 为选择 控制信号,Y 为输出信号,可以是4路输入数据中的任意一路, 究竟是哪一路完全由地址选择控制信号1A 、0A 决定。 ① 逻辑功能:四选一

1)1000A A =时,0D 被选通,0Y D = ;2)1001A A =时,1D 被选通,1Y D =; 3)1010A A =时,2D 被选通,2Y D = ;4)1011A A =时,3D 被选通,3Y D =。

按照上述功能设计的逻辑电路可完成四选一的逻辑功能。 ② 输出表达式:3

0101102103100

i

i

i Y D A A D A A D A A D A A D m ==+++=

∑ (需要记住)

(3)74LS151八选一数据选择器 (图给出了74LS151的引脚图) ① 输入和输出

输入:八个数据输入端:0

7D D ;三个地址输入端:02A A

输出:两个互补的输出端Y 和Y ② 选通控制信端S :

1)1S =时,选择器被禁止,即不工作(0Y =);(此时,输入的数据和地址信号军不起作用) 2)0S =时,选择器工作,输出表达式为:7

02101210221072100

i i i Y D A A A D A A A D A A A D A A A D m ==++++=∑

③ 74LS151的真值表 (如表所示)

(4)用具有n 个地址端的数据选择器实现m (m n =)变量的逻辑函数 当0S =时,8选1数据选择器的输出表达式:

如果我们用地址端2A 、1A 、0A 分别代表3个变量A 、B 、C ,输出表达 式用卡诺图的形式表示如图所示,

D D,就可以用8选1数据选择器设计任意的3变量组合电路。

适当地选择

07

小结:

(1)组合逻辑电路的特点是,任意时刻电路的输出状态只取决于该时刻的输入状态,而与该时刻前的电路状态无关。

(2)对组合逻辑电路进行分析时,可以逐级地写出逻辑表达式,然后进行化简,力求得到一个最简的逻辑表达式。组合逻辑电路的设计过程与分析过程相反。在设计一些简单的组合逻辑电路时,关键是根据设计要求列出真值表。(3)组合逻辑电路形式多样,包括编码器、译码器、数据选择器、数值比较器、加法器等。

自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出07Y ~Y = 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的 A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时,输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时,处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器 15.在二进制译码器中,若输入有4位代码,则输出有 个信号。 A .2 B .4 C .8 D .16 16.比较两位二进制数A=A 1A 0和B=B 1B 0,当A >B 时输出F =1,则F 表达式是 。 A . B A F = B .0101B B A A F ++= .0011B A B A F ++=

第三章 组合逻辑电路 一、选择题 1.下列表达式中不存在竞争冒险的有 。 A.Y =B +A B B.Y =A B +B C C.Y =A B C +A B D.Y =(A +B )A D 2.若在编码器中有50个编码对象,则要求输出二进制代码位数为 位。 A.5 B.6 C.10 D.50 3.一个16选一的数据选择器,其地址输入(选择控制输入)端有 个。 A.1 B.2 C.4 D.16 4.下列各函数等式中无冒险现象的函数式有 。 A.B A AC C B F ++= B.B A BC C A F ++= C.B A B A BC C A F +++= D.C A B A BC B A AC C B F +++++= E.B A B A AC C B F +++= 5.函数C B AB C A F ++=,当变量的取值为 时,将出现冒险现象。 A.B =C =1 B.B =C =0 C.A =1,C =0 D.A =0,B =0 6.四选一数据选择器的数据输出Y 与数据输入X i 和地址码A i 之间的 逻辑表达式为Y = 。 A.3X A A X A A X A A X A A 01201101001+++ B.001X A A C.101X A A D.3X A A 01 7.一个8选一数据选择器的数据输入端有 个。 A.1 B.2 C.3 D.4 E.8 8.在下列逻辑电路中,不是组合逻辑电路的有 。 A.译码器 B.编码器 C.全加器 D.寄存器 9.八路数据分配器,其地址输入端有 个。 A.1 B.2 C.3 D.4 E.8 10.组合逻辑电路消除竞争冒险的方法有 。 A. 修改逻辑设计 B.在输出端接入滤波电容 C.后级加缓冲电路 D.屏蔽输入信号的尖峰干扰 11.101键盘的编码器输出 位二进制代码。 A.2 B.6 C.7 D.8 12.用三线-八线译码器74L S 138实现原码输出的8路数据分配器,应 。 A.A ST =1,B ST =D ,C ST =0 B. A ST =1,B ST =D ,C ST =D

第六章组合逻辑电路 一、概述 1、组合逻辑电路的概念 数字电路根据逻辑功能特点的不同分为: 组合逻辑电路:指任何时刻的输出仅取决于该时刻输入信号的组合,而与电路原有的状态无关的电路。 时序逻辑电路:指任何时刻的输出不仅取决于该时刻输入信号的组合,而且与电路原有的状态有关的电路。 2、组合逻辑电路的特点 逻辑功能特点:没有存储和记忆作用。 组成特点:由门电路构成,不含记忆单元,只存在从输入到输出的通路,没有反馈回路。 3、组合逻辑电路的描述 4、组合逻辑电路的分类 按逻辑功能分为:编码器、译码器、加法器、数据选择器等; 按照电路中不同基本元器件分为:COMS、TTL等类型; 按照集成度不同分为:SSI、MSI、LSI、VLSI等。 二、组合逻辑电路的分析与设计方法 1、分析方法 根据给定逻辑电路,找出输出输入间的逻辑关系,从而确定电路的逻辑功能,其基本步骤为: a、根据给定逻辑图写出输出逻辑式,并进行必要的化简; b、列出函数的真值表; c、分析逻辑功能。 2、设计方法 设计思路:分析给定逻辑要求,设计出能实现该功能的组合逻辑电路。 基本步骤:分析设计要求并列出真值表→求最简输出逻辑式→画逻辑图。 首先分析给定问题,弄清楚输入变量和输出变量是哪些,并规定它们的符号与逻辑取值(即规定它们何时取值0 ,何时取值1) 。然后分析输出变量和输入变量间的逻辑关系,列出真值表。根据真值表用代数法或卡诺图法求最简与或式,然后根据题中对门电路类型的要求,将最简与或式变换为与门类型对应的最简式。

三、若干常用的组合逻辑电路 (一)、编码器 把二进制码按一定规律编排,使每组代码具有特定的含义,称为编码。具有编码功能的逻辑电路称为编码器。 n 位二进制代码有n 2种组合,可以表示n 2个信息;要表示N 个信息所需的二进制代码应满足n 2≥ N 。 1、普通编码器 (1)、二进制编码器 将输入信号编成二进制代码的电路。下面以3位二进制编码器为例分析普通编码器的工作原理。 3位二进制编码器的输入为70~I I 共8个输入信号,输出是3位二进制代码012Y Y Y ,因此该电路又称8线-3线编码器。它有以下几个特征: a 、将70~I I 8个输入信号编成二进制代码。 b 、编码器每次只能对一个信号进行编码,不允许两个或两个以上的信号同时有效。 c 、设输入信号高电平有效。 由此可得3位二进制编码器的真值表如右图所示,那么由真值表可知: 765476542I I I I I I I I Y =+++= 763276321I I I I I I I I Y =+++= 753175310I I I I I I I I Y =+++= 进而得到其逻辑电路图如下:

10.1 PLD器件有哪几种分类方法?按不同的方法划分PLD器件分别有哪几种类型? PLD器件通常有两种分类方法:按集成度分类和按编程方法分类。按集成度分类,PLD 器件可分为低密度可编程逻辑器件(LDPLD)和高密度可编程逻辑器件(HDPLD)两种。具体分类如下: PLD LDPLD HDPLD PROM PLA PAL GAL CPLD FPGA 按编程方法分类,PLD器件可分为一次性编程的可编程逻辑器件、紫外线可擦除的可编程逻辑器件、电可擦除的可编程逻辑器件和采用SRAM结构的可编程逻辑器件四种。 10.2 PLA、PAL、GAL和FPGA等主要PLD器件的基本结构是什么? PLA的与阵列、或阵列都可编程;PAL的与阵列可编程、或阵列固定、输出结构固定;GAL的与阵列可编程、或阵列固定、输出结构可由用户编程定义;FPGA由CLB、IR、IOB 和SRAM构成。逻辑功能块(CLB)排列成阵列结构,通过可编程的内部互连资源(IR)连接这些逻辑功能块,从而实现一定的逻辑功能,分布在芯片四周的可编程I/O模块(IOB)提供内部逻辑电路与芯片外部引出脚之间的编程接口,呈阵列分布的静态存储器(SRAM)存放所有编程数据。 10.3 PAL器件的输出与反馈结构有哪几种?各有什么特点? PAL器件的输出与反馈结构有以下几种: (1)专用输出结构:输出端为一个或门或者或非门或者互补输出结构。 (2)可编程输入/输出结构:输出端具有输出三态缓冲器和输出反馈的特点。 (3)寄存器输出结构:输出端具有输出三态缓冲器和D触发器,且D触发器的Q端 又反馈至与阵列。 (4)异或输出结构:与寄存器输出结构类似,只是在或阵列的输出端又增加了异或门。 10.4 试分析图P10.4给出的用PAL16R4构成的时序逻辑电路的逻辑功能。要求写出电路的激励方程、状态方程、输出方程,并画出电路的状态转移图。工作时,11脚接低电平。图中画“×”的与门表示编程时没有利用,由于未编程时这些与门的所有输入端均有熔丝与列线相连,所以它们的输出恒为0。为简化作图,所有输入端交叉点上的“×”不再画,而改用与门符号里面的“×”代替。(提示:R为同步清0控制端,C为进位信号输出端)该时序逻辑电路由4个D触发器和若干门电路构成,设17、16、15、14引脚对应的D

第4章 [题4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图P4.2 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题4.3] 分析图P4.3电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) B 、 C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题4.4] 图P4.4是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP =0、Z=0的真值表从略。 [题4.5] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题4.5的真值表如表A4.5所示,逻辑图如图A4.5(b)所示。

第10章组合逻辑电路 一、基本要求 1.掌握组合电路的特点及其分析方法和设计方法; 2.理解几种常用的组合逻辑电路及其中规模器件的功能并掌握使用方法; 3.了解组合逻辑电路中的竟争——冒险现象。 二、阅读指导 1、组合逻辑电路的特点 组合逻辑电路在逻辑功能上的特点是电路任意时刻的输出状态,只取决于该时刻的输入状态,而与该时刻之前的电路输入状态和输出状态无关。 组合逻辑电路在结构上的特点是不含有具有存储功能的电路。可以由逻辑门或者由集成组合逻辑单元电路组成,从输出到各级门的输入无任何反馈线。 组合逻辑电路的输出信号是输入信号的逻辑函数。这样,逻辑函数的四种表示方法,都可以用来表示组合逻辑电路的功能。 2、组合逻辑电路的分析 组合逻辑电路的分析就是根据给定的逻辑电路,通过分析找出电路的逻辑功能,或是检验所设计的电路是否能实现预定的逻辑功能,并对功能进行描述。其一般步骤为:(1)根据逻辑图写出输出逻辑函数表达式 由输入端逐级向后推(或从输出向前推到输入),写出每个门的输出逻辑函数表达式,最后写出组合电路的输出与输入之间的逻辑表达式。有时需要对函数式进行适当的变换,以使逻辑关系简单明了。 (2)列出真值表 列出输入逻辑变量全部取值组合,求出对应的输出取值,列出真值表。 (3)说明电路的逻辑功能 根据逻辑表达式或真值表确定电路的逻辑功能,并对功能进行描述。 3、组合逻辑电路的设计 根据给定的逻辑功能要求,设计出能实现这一功能要求的最简组合逻辑电路,就是设计组合逻辑电路的任务。 在设计组合逻辑电路时,电路的最简是我们追求的目标之一。电路的“最简”含意是指所用器件数最少、器件的品种最少、器件间的连线也最少。 组合逻辑电路设计的一般步骤如下: (1)进行逻辑规定 根据设计要求设计逻辑电路时,首先应分析事件的因果关系,确定输入与输出逻辑变量,并规定变量何时取1何时取0,即所谓逻辑状态赋值。 (2)列真值表并写出逻辑函数式 根据输入、输出之间的因果关系,列出真值表。至此,便将一个具有因果关系的事件表示为逻辑函数,并且是以真值表的形式给出。 真值表中输出为1时所对应的各最小项之和就是输出逻辑函数式。 (3) 对输出逻辑函数式化简

10.1 将下列十进制数转换成二进制数、八进制数、十六进制数和8421BCD码(要求转换 误差不大于2-3): (1)47 (2)136 (3)257.25 (4)3.781 解 (1)47 =(101111)B = (57)O = (2F)H = (01000111)BCD (2)136=(10001000)B = (210)O = (88)H = (000100110119)BCD (3)257.25=(100000001.1)B = (401.4)O = (101.8)H = (001001010111.00100102)BCD (4)3.781=(11.110)B = (3.6)O = (3.C)H = (0011.011110000001)BCD 10.2将下列数码作为自然数或8421码时,分别求出相应的十进制数: (1)10010111 (2)100001110001 (3)010********* 解 (1)10010111 作为自然数的十进制数为:151;作为BCD码的十进制数为:97 (2)100001110001作为自然数的十进制数为:2161;作为BCD码的十进制数为:871 (3)010*********作为自然数的十进制数为:1321;作为BCD码的十进制数为:529 10.3 将下列二进制数转换成十六进制数: (1)(100100111)B(2) (100.0110111)B 解 (1)(100100111)B = (127)H(2) (100.0110111)B = (4.6E)H 10.4 将下列十进制转换成十六进制: (1)512 (2)67 (3)42.35 解 (1)512 = (200)H(2)67 = (43)H(3)42.35 = (2A.5)H 10.5 写出如图10-76所示各逻辑图的逻辑表达式,并列出真值表。 图10-76 习题10.5的图 解逻辑表达式为:ABC = Y+ CD 2 10.6化简如图10-77所示的电路,要求化简后的电路逻辑功能不变。

习题 4.1写出图所示电路的逻辑表达式,并说明电路实现哪种逻辑门的功能。(基本题属于4.1节) 习题4.1图 解:B A B A B A B A B A F ⊕=+=+= 该电路实现异或门的功能 4.2分析图所示电路,写出输出函数F 。(基本题属于4.1节) 习题4.2图 解:[]B A B B B A F ⊕=⊕⊕⊕=)( 4.3已知图示电路及输入A 、B 的波形,试画出相应的输出波形F ,不计门的延迟.(基本 题属于4.1节) 图 解:B A B A B A AB B AB A AB B AB A F ⊕=?=???=???= 4.4由与非门构成的某表决电路如图所示。其中A 、B 、C 、D 表示4个人,L=1时表示决议通过。(基本题属于4.1节) (1) 试分析电路,说明决议通过的情况有几种。 (2) 分析A 、B 、C 、D 四个人中,谁的权利最大。 B A C & & & & D L B A =1 =1 =1 F F A B & & & & & F B A

习题4.4图 解:(1)ABD BC CD ABD BC CD L ++=??= (2) (3)4.5分析图所示逻辑电路,已知S 1﹑S 0为功能控制输入,A ﹑B 为输入信号,L 为输出,求电路所具有的功能。(基本题属于4.1节) 习题4.5图 解:(1)011011)(S S B S A S S B S A L ⊕⊕+⊕=⊕⊕?⊕= (2) (3)当S 1S 0=00和S 1S 0=11时,该电路实现两输入或门,当S 1S 0=01时,该电路实现两输入或非门,当S 1S 0=10时,该电路实现两输入与非门。 4.6试分析图所示电路的逻辑功能,并用最少的与非门实现。(综合题属于4.1、4.2节) 10

[新课导入] 直接导入,从今天开始,我们学习数字电路。首先学习数字电路基础知识。 [新授内容] §10.1 数字电路的基础知识 一、数字电路及其特点: 1.模拟信号:凡是在时间上和数值上都是连续变化的信号。 例如:随声音、温度、压力等物理量作连续变化的电压或电流。 2.数字信号:凡在数值上或时间上都是离散的信号。 数字信号常用二值量来表示。 例如:光电计数器 画图较好说明。 3.模拟电路:处理模拟信号的电路。 例如:交流和直流信号的放大电路。 4.数字电路:处理数字信号的电路。 例如:脉冲信号的产生、放大、整形、传递、控制、记忆、计数等电路。5.数字电路的特点: (1)半导体管多数工作在开关状态,即不是工作在饱和区,就是工作在截止区,而放大区只是其过渡状态。 (2)数字电路的研究对象是电路的输入和输出之间的逻辑关系,因而不能彩模拟电路的分析方法。分析数字电路的工具是逻辑代数,表达电路的功能主要用真值表。逻辑函数表达式及波形图等。 二、数制和码 1.十进制数 基数:0~9 权:10

计数规律:逢十进一。 2.二进制数 基数:0、1 权:2 计数规律:逢二进一。 3.BCD码 在数字系统中,各种文字、符号等特定的信息,也往往采用一定位数的二进制码来表示,通常把这种二进制码称为代码。 BCD码是用四位二进制数组成一组代码,表示一位十进制码。 基数:0、1 权:8、4、2、1

[复习提问] 1.什么叫数字电路?它与模拟电路有何区别。 2.“与”逻辑的含义是什么?它的逻辑表达方式有哪几种? [新授内容] §10.2 逻辑门电路 10.2.1 与逻辑、与门电路 一、为什么叫门电路 数字电路的基本部分是各种开关电路。这些电路像门一样依一定的 条件“开”或“关”所以又称为“门”电路。 二、逻辑的含义: 1.逻辑:思维的规律,合乎逻辑。 2.逻辑:客观的规律性。 3.逻辑学:研究思维的形式和规律的科学。 4.一般,门电路有一个输出端,但有多个输入端。而且输出端的状态是 由输入端状态决定的。如果将门电路的输入状态称为“因”,输出端的状态称为“果”,则输入端和输出端状态间有一定的逻辑关系。通常用“逻辑”这个词表示因果的规律性。 简而言之,表示输入端和输出端状态的规律性。 三、基本的逻辑门电路 是指逻辑“与”“或”“非”三种电路 四、关系逻辑电路的几个规定: 1.逻辑状态的表示方法: 逻辑0 和逻辑1 注:不是表示数字的大小。而是表示两种对立的状态。

第4章组合逻辑电路 一、教学目的: 本章主要介绍组合逻辑电路的特点、组合逻辑电路的分析方法和设计方法,以及加法器、编码器、译码器、数据选择器、数据比较器、奇偶校验器等常用组合逻辑电路的电路结构、工作原理和使用方法,最后介绍组合逻辑电路中的竞争-冒险。 二、教学题要 4.1 概述 尽管各种组合逻辑电路在功能上千差万别,但是它们的分析方法和设计方法有共同之处。掌握了分析方法,就可以识别任何一个给定的组合逻辑电路的逻辑功能;掌握了设计方法,就可以根据给定的设计要求设计出相应的组合逻辑电路。 4.1.1 组合逻辑电路的结构和特点 4.1.2 组合逻辑电路的分析方法 4.1.3 组合逻辑电路的设计方法 4.2 若干常用的组合逻辑电路 在数字系统设计中,有些逻辑电路是经常或大量使用的,为了使用方便,一般把这些逻辑电路制成中、小规模集成电路产品。在组合逻辑电路中,常用的集成电路产品有加法器、编码器、译码器、数据选择器、数据比较器及奇偶校验器等。下面分别介绍这些组合逻辑部件的电路结构、工作原理和使用方法。为了增加使用的灵活性,在多数中规模集成的组合逻辑电路上,都设置了附加的控制端。控制端既可以控制电路的工作状态(工作或禁止),又可作为输出信号的选通信号,还可以实现器件的扩展。合理地运用这些控制端,不仅能使器件完成自身的逻辑功能,还可以用这些器件实现其他组合逻辑电路,最大限度发挥电路的潜力。 4.2.1 算术运算电路 4.2.2 编码器 4.2.3 译码器 4.2.4 数据选择器 4.2.5数值比较器 4.2.6奇偶校验器 4.3 采用中规模集成部件实现组合逻辑电路 由于中规模集成电路的大量出现,许多逻辑问题可以直接选用相应的集成器件来实现,这样既省去繁琐的设计,又可以避免设计中带来的错误。中规模集成部件都具有与其名称相吻合的专用功能,但对于某些中规模集成电路来说,除了能完成自身的功能外,还可以用来实现组合逻辑电路。下面以译码器和数据选择器为例,介绍用中规模集成电路实现组合逻辑电路的方法。 4.3.1 用译码器实现组合逻辑电路 4.3.2 用数据选择器实现组合逻辑电路 4.4 组合逻辑电路的竞争—冒险现象 为了增加组合逻辑电路使用的可靠性,需要检查电路中是否存在竞争—冒险。如果发现有竞争—冒险存在,则应采取措施加以消除。 4.4.1 竞争—冒险现象及其成因

组合逻辑电路的设计 一.实验目的 1、加深理解组合逻辑电路的工作原理。 2、掌握组合逻辑电路的设计方法。 3、掌握组合逻辑电路的功能测试方法。二.实验器材 实验室提供的芯片:74LS00与非门、74LS86异或门,74LS54与或非门,实验室提供的实验箱。 三.实验任务及要求 1、设计要求 (1)用与非门和与或非门或者异或门设计一个半加器。 (2)用与非门和与或非门或者异或门设计一个四位奇偶位判断电路。 2、实验内容 (1)测试所用芯片的逻辑功能。 (2)组装所设计的组合逻辑电路,并验证其功能是否正确。 三.实验原理及说明 1、简述组合逻辑电路的设计方法。 (1) 分析实际情况是否能用逻辑变量来表示。 (2) 确定输入、输出逻辑变量并用逻辑变量字母表示,作出逻辑规定。 (3) 根据实际情况列出逻辑真值表。 (4) 根据逻辑真值表写出逻辑表达式并化简。 (5) 画出逻辑电路图,并标明使用的集成电路和相应的引脚。 (6) 根据逻辑电路图焊接电路,调试并进一步验证逻辑关系是否与实际情况相符。2、写出实验电路的设计过程,并画出设计电路图。 (1) 半加器的设计如果不考虑有来自低位的进位将两个1 位二进制数相加。 A、B是两个加数,S是相加的和,CC是向高位的进位。 逻辑表达式 S=AB+AB=A? B CC=AB (2) 设计一个四位奇偶位判断电路。当四位数中有奇数个1 时输出结果为1;否则为0。 A, B, C, D分别为校验器的四个输入端,丫时校验器的输出端

逻辑表达式 Y=ABC'D'+A'BCD'+A'B'CD 'A'B'C'D+ABCD+A 'D+AB '+ABCD =(A ? B) ? (C ? D) 四?实验结果 1、列出所设计电路的MULTISM 仿真分析结果。 (1)半加器的设计,1-A 被加数,2-B 加数,XMMI (和数S )XMM (进位数CO A B S CO 0 0 0 0 0 1 1 0 1 0 1 0 1 1 1 A B c D 输出Y 0 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 ;: r P1A… : ; — Vi.c 一隔 .... 74LSM0 (2)设计一个四位奇偶位判断电路 VCC 二 UJU. iEX - 74 L SOOD

第六章几种常用的组合逻辑电路 一、填空题 1、(8-1易)组合逻辑电路的特点是:电路在任一时刻输出信号稳态值由决定(a、该时刻电路输入信号;b、信号输入前电路原状态),与无关(a、该时刻电路输入信号;b、信号输入前电路原状态),属于(a、有;b、非)记忆逻辑电路。 2、(8-2易)在数字系统中,将具有某些信息的符号变换成若干位进制代码表示,并赋予每一组代码特定的含义,这个过程叫做,能实现这种 功能的电路称为编码器。一般编码器有n个输入端,m个输出端,若输入低电平有效,则在任意时刻,只有个输入端为0,个输入端为1。对于优先编码器,当输入有多个低电平时,则。 3、(8-3易,中)译码是的逆过程,它将转换成。译码器有多个输入和多个输出端,每输入一组二进制代码,只有个输出端有效。n 个输入端最多可有个输出端。 4、(8-2易)74LS148是一个典型的优先编码器,该电路有个输入端和个输出端,因此,又称为优先编码器。 5、(8-4中)使用共阴接法的LED数码管时,“共”端应接,a~g应接输出有效的显示译码器;使用共阳接法的LED数码管时,“共”端应接,a~g应接输出有效的显示译码器,这样才能显示0~9十个数字。 6、(8-4中)译码显示电路由显示译码器、和组成。 7.(8-4易)译码器分成___________和___________两大类。 8.(8-4中)常用数字显示器有_________,_________________,____________等。 9.(8-4中)荧光数码管工作电压_______,驱动电流______,体积_____,字形清晰美观,稳定可靠,但电源功率消耗______,且机械强度_____。 10.(8-4中)辉光数码管管内充满了_________,当它们被______时,管子就发出辉光。 11.(8-4易)半导体发光二极管数码管(LED)可分成_______,_______两种接法。 12.(8-4中)发光二极管正向工作电压一般为__________。为了防止二极管过电流而损坏,使用时在每个二极管支路中应______________。 13.(8-3中)单片机系统中,片内存储容量不足需要外接存储器芯片时,可用_________作高位地址码。 14.(8-3中)数字系统中要求有一个输入端,多个数据输出端,可用_________输入端作为

习题 写出图所示电路的逻辑表达式,并说明电路实现哪种逻辑门的功能。 习题图 解:B A B A B A B A B A F⊕ = + = + = 该电路实现异或门的功能 分析图所示电路,写出输出函数F。 习题图 解:[]B A B B B A F⊕ = ⊕ ⊕ ⊕ =) ( 已知图示电路及输入A、B的波形,试画出相应的输出波形F,不计门的延迟. 解:B A B A B A AB B AB A AB B AB A F⊕ = ? = ? ? ? = ? ? ? = 由与非门构成的某表决电路如图所示。其中A、B、C、D表示4个人,L=1时表示决议通过。 (1)试分析电路,说明决议通过的情况有几种。 (2)分析A、B、C、D四个人中,谁的权利最大。 习题图 解:(1)ABD BC CD ABD BC CD L+ + = ? ? = B A C& & & & D L B A= 1 == 1 F F A B F B A

(2) L 0 0010111 (3)分析图所示逻辑电路,已知S 1﹑S 0为功能控制输入,A ﹑B 为输入信号,L 为输出,求电路所具有的功能。 习题图 解:(1)011011)(S S B S A S S B S A L ⊕⊕+⊕=⊕⊕?⊕= (2) L

试分析图所示电路的逻辑功能。 习题图 解:(1)ABC C B A F )(++= (2) F 01111110 F

电路逻辑功能为:“判输入ABC 是否相同”电路。 已知某组合电路的输入A 、B 、C 和输出F 的波形如下图所示,试写出F 的最简与或表达式。 习题图 解:(1)根据波形图得到真值表: F 1 0010010 C AB BC A C B A F ++= 、设∑= )14,12,10,9,8,4,2(),,,(m D C B A F ,要求用最简单的方法,实现的电路最简单。 1)用与非门实现。 2)用或非门实现。 3) 用与或非门实现。 F C B A

( 有些题答案错了 )自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出07Y ~Y = 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的 A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲

12.当二输入与非门输入为 变化时,输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321 E E E 取值为 时,处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器 15.在二进制译码器中,若输入有4位代码,则输出有 个信号。 A .2 B .4 C .8 D .16 16.比较两位二进制数A=A 1A 0和B=B 1B 0,当A >B 时输出F =1,则F 表达式是 。 A . B A F = B .0101B B A A F ++= .0011B A B A F ++= 17.集成4位数值比较器74LS85级联输入I A <B 、I A=B 、I A >B 分别接001,当输入二个相等的4位数据时,输出F A <B 、F A=B 、F A >B 分别为 。 A .010 B .001 C .100 D .011 18.实现两个四位二进制数相乘的组合电路,应有 个输出函数。 A . 8 B .9 C .10 D .11 19.设计一个四位二进制码的奇偶位发生器(假定采用偶检验码),需要 个异或门。 A .2 B .3 C .4 D .5 20.在图中,能实现函数C B B A F +=的电路为 。 (a ) (b ) (c ) 图 A .电路 (a ) B .电路(b ) C .电路(c ) D .都不是 习 题 1.分析图所示组合逻辑电路的功能,要求写出与-或逻辑表达式,列出其真值表,并说明电路的逻辑功能。 图 解: CO =AB +BC +AC AC BC AB C B A ABC CO C B A ABC S +++++=+++=)()(

第十章组合逻辑电路 自测题 一、填空题 1.把输入的各种信号转换成若干个二进制位的过程称为,其逆过程被称为。 2.数据分配器的功能是能将传输总线上的数据有选择地传送 到端。 3.依据逻辑功能的特点,数字电路可分为和 两大类。 4.半加器的功能是。 5.如题图10.1所示,显示数字7时,段发光。 6.全加器的功能是。 二. 选择题 1.二位三进制加法计数器的状态转换图是。 A、00→01→10→11→00; B、0←01←10←11←00; C、00→01→10→00; D、00←01←10←00。 2.优先编码器同时有两个输入信号时,是按的输入信号编码。 A、高电平; B、低电平; C、高频率; D、高优先级。 3.能将输入信息转变二进制代码的电路为。 A、译码器; B、编码器; C、数据选择器; D、数据分配器。 4.半导体数码管是由排列成显示数字。 A、灯泡; B、液态晶体; C、辉光器件; D、发光二极管。 5.下列各项不属于分段显示器的是。 A、数码显示器; B、荧光数码管; C、液晶显示器; D、半导体数码管。 6.要对10个信息进行编码,则要用个输入个输出端的编码器。 A、2,8; B、10,4; C、4,10; D、5,10。 三、判断下面说法是否正确,用“√"或“×"表示在括号 1.译码器属于组合逻辑电路。() 2.译码器输出的是数字而不是信号。() 3.组合电路任何时刻的输出状态都是由该时刻的输入状态来决定,而和输入信号到来之前的电路状态无关。() 4.2位二进制编码器是有4个输入端,2个输出端。() 5.数据选择器是一个单输入、多输出的组合逻辑电路()。 6.般n位二进制数有n2个状态,可表示n2种特定含义。() 思考与练习题 10.1.1 组合逻辑电路的功能特点是什么? 10.2.1写出题图10.2示逻辑电路的逻辑函 数表达式,分析其功能,然后用最少的逻辑门 电路来实现此逻辑电路功能。

一.目的 由逻辑图得出逻辑功能 二.方法(步骤) 1.列逻辑式: 由逻辑电路图列输出端逻辑表达式; (由输入至输出逐级列出) 2.化简逻辑式: 代数法、卡诺图法; (卡诺图化简步骤保留) 3.列真值表: 根据化简以后的逻辑表达式列出真值表;4.分析逻辑功能(功能说明): 分析该电路所具有的逻辑功能。 (输出与输入之间的逻辑关系); (因果关系) (描述函数为1时变量取值组合的规律) 技巧:先用文字描述真值表的规律(即叙述函数值为1时变量组合所有的取值),然后总结归纳电路实现的具体功能。 5.评价电路性能。 三.思路总结:

四.注意: 关键:列逻辑表达式; 难点:逻辑功能说明 1、逻辑功能不好归纳时,用文字描述真值表的规律。(描述函数值为1时变量组合所有的取值)。 2、常用的组合逻辑电路。 (1)判奇(偶)电路; (2)一致性(不一致性)判别电路; (3)相等(不等)判别电路; (4)信号有无判别电路; (5)加法器(全加器、半加器); (6)编码器、优先编码器; (7)译码器; (8)数值比较器; (9)数据选择器; (10)数据分配器。 3、多输出组合逻辑电路判别: 1)2个输出时考虑加法器:2输入半加;3输入全加。 2)4输出时考虑编码器:4输入码型变换;编码器。

五.组合逻辑电路分析实例 例1 电路如图所示,分析电路的逻辑功能。 A B Y 解: (1)写出输出端的逻辑表达式:为了便于分析可将电路自左至右分三级逐级写出Z 1、Z 2、Z 3和Y 的逻辑表达式为: 321 3121Z Z Y BZ Z AZ Z AB Z ==== (2)化简与变换:将Z 1、Z 2、和Z 3代入到公式Y 中进行公式化简得: B A B A BZ AZ BZ AZ Z Z Z Z Y +=+=+=+==11113232 (3)列出真值表:根据化简以后的逻辑表达式列出真值表如表所示。

第12章门电路和组合逻辑电路 10636晶 体 管 的 开 关 作 用 是 ( )。 (a) 饱 合 时 集— 射 极 接 通, 截 止 时 集— 射 极 断 开 (b) 饱 合时 集— 射 极 断 开, 截 止 时 集— 射 极 接 通 (c) 饱 合 和 截 止 时 集— 射 极 均 断 开 20639逻 辑 图 和 输 入 A 、B 的 波 形 如 图 所 示, 试 分 析 在 t 1 瞬 间 输 出 F 为( )。(a) “1” (b) “0”(c) 不 定 t 1 A B 图1 图2 30646逻 辑 符 号 图 如 图 所 示, 其 逻 辑 式 为 ( ) 。 (a) F =AB (b) F =AB (c) F =A B + (d) F =A B + 40649图 示 逻 辑 符 号 的 逻 辑 状 态 表 为 ( )。 & A F B

50653逻 辑 符 号 如 图 所 示, 表 示 “ 或” 门 的 是( ) 。 & A F B ≥1A F B & A F B =1 A F B () a () b () c () d 60664逻 辑 图 和 输 入 A 的 波 形 如 图 所 示, 输 出 F 的 波 形 为 ( )。 "0" 1 A F () a () b (c) A F F 70702由 开 关 组 成 的 逻 辑 电 路 如 图 所 示, 设 开 关 接通 为“1”, 断 开 为“0”, 电 灯 亮 为“1”, 电 灯 暗 为“0”, 则 该 电 路 的 逻 辑 式 为( )。 (a) F = 0 (b) F = 1 (c) F = A A A

第3章组合逻辑电路 德州学院计算机系:刘树海 3-1概述 组合逻辑电路的特点 ?从功能上 ?从电路结构上 逻辑功能的描述 组合逻辑电路的分析方法 组合逻辑电路的设计方法 一、逻辑抽象 ?分析因果关系,确定输入/输出变量 ?定义逻辑状态的含意(赋值) ?列出真值表 二、写出函数式 三、选定器件类型 四、根据所选器件:对逻辑式化简(用门) 变换(用M S I) 或进行相应的描述(P L D)五、画出逻辑电路图,或下载到P L D 六、工艺设计 设计举例: ?设计一个监视交通信号灯状态的逻辑电路 设计举例: 1.抽象 ?输入变量:红(R)、黄(A)、绿(G) 信号(Z)

2. 写出逻辑表达式 设计举例: 3. 选用小规模S S I 器件 4. 化简 5. 画出逻辑图 3-2若干常用组合逻辑电路 ? 加法器 ? 数值比较器 ? 编码器 ? 译码器 ? 数据选择器 ? 数据分配器 加法器 一、1位半加器 RAG RAG G RA AG R G A R Z ++++=''''''

逻辑图: S i A i B i C i i i i i i i i i i i i A B S +=i i i B A C =B A ⊕=2. 全加器(F ull A dder ) 两个 1 位二进制数相加,考虑低位进位。 A i + B i + C i -1 ( 低位进位 ) = S i ( 和 ) → C i ( 向高位进位 ) 1 0 1 --- A 1 1 1 0 --- B + --- 低位进位 1 0 0 1 0 1 1 1 1 1 -1-1-1- i i i i i i i i i i i i i C B A C B A C B A C B A S +++=1 111----+++=i i i i i i i i i i i i i C B A C B A C B A C B A C --- S 高位进位← 0

第4章 [题].分析图电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0

时,Y =1,否则Y=0。 [题] 分析图电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。 图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) 真值表: 由真值表可知:、C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。

[题] 图是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。 图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 、 COMP=1、Z=0时的真值表 COMP=0、Z=0的真值表从略。 [题] 用与非门设 1,输

组合逻辑电路的分析与设计 实验报告 院系:电子与信息工程学院班级:电信13-2班 组员姓名: 一、实验目的 1、掌握组合逻辑电路的分析方法与测试方法。 2、掌握组合逻辑电路的设计方法。 二、实验原理 通常逻辑电路可分为组合逻辑电路和时序逻辑电路两大类。电路在任何时刻,输出状态只取决于同一时刻各输入状态的组合,而与先前的状态无关的逻辑电路称为组合逻辑电路。 1.组合逻辑电路的分析过程,一般分为如下三步进行:①由逻辑图写输出端的逻辑表达式;②写出真值表;③根据真值表进行分析,确定电路功能。 2.组合逻辑电路一般设计的过程为图一所示。 图一组合逻辑电路设计方框图 3.设计过程中,“最简”是指按设计要求,使电路所用器件最少,器件的种类最少,而且器件之间的连线也最少。 三、实验仪器设备 数字电子实验箱、电子万用表、74LS04、74LS20、74LS00、导线若干。 74LS00 74LS04 74LS20 四、实验内容及方法

1 、设计4线-2线优先编码器并测试其逻辑功能。 数字系统中许多数值或文字符号信息都是用二进制数来表示,多位二进制数的排列组合叫做代码,给代码赋以一定的含义叫做编码。 (1)4线-2线编码器真值表如表一所示 4线-2线编码器真值表 (2)由真值表可得4线-2线编码器最简逻辑表达式为 1Y =((I 0′I 1′I 2I 3′)′(I 0′I 1′I 2′I 3)′) ′ 0Y =((I 0′I 1I 2′I 3′)′( I 0′I 1′I 2′I 3)′)′ (3)由最简逻辑表达式可分析其逻辑电路图 4线-2线编码器逻辑图 (4)按照全加器电路图搭建编码器电路,注意搭建前测试选用的电路块能够正常工作。 (5)验证所搭建电路的逻辑关系。 0I =1 1Y 0Y =0 0 1I =1 1Y 0Y =0 1 2I =1 1Y 0Y =1 0 3I =1 1Y 0Y =1 1 2、设计2线-4线译码器并测试其逻辑功能。

相关主题
文本预览
相关文档 最新文档