当前位置:文档之家› (完整版)北航微机原理实验报告

(完整版)北航微机原理实验报告

(完整版)北航微机原理实验报告
(完整版)北航微机原理实验报告

微计算机原理及运用实验报告

目录

实验一:I/O地址译码实验 (4)

一、实验目的 (4)

二、实验原理和内容 (4)

三、实验程序 (4)

四.实验总结 (5)

实验二:8255并行接口实验 (6)

一、实验目的 (6)

二、实验原理和内容 (6)

三、程序框图 (7)

四.实验程序 (7)

五.实验总结 (8)

实验三:键盘显示控制实验 (9)

一、实验目的 (9)

二、实验内容及原理 (9)

三、流程图 (10)

四.程序 (10)

五.实验总结 (13)

实验四:8254定时器/计数器实验 (14)

一、实验目的 (14)

二、实验原理和内容 (14)

三、实验程序 (14)

四.实验总结 (15)

实验五:继电器控制实验 (16)

一、实验目的 (16)

二、实验原理和内容 (16)

三、实验中使用的程序 (16)

四.实验总结 (18)

实验六:DMA传送 (18)

一、实验目的 (18)

二、实验原理和内容 (18)

三、程序 (19)

四.实验总结 (20)

实验七:8259 中断控制实验 (20)

一、实验目的 (20)

二、实验原理和内容 (21)

三、流程图 (21)

四.程序 (21)

五.实验总结 (25)

实验八:8255中断实验 (25)

一、实验目的 (25)

二、实验原理和内容 (25)

三.实验程序 (26)

四.实验总结 (27)

实验一:I/O地址译码实验

一、实验目的

掌握I/O地址译码电路的工作原理。

二、实验原理和内容

实验电路如附图1所示,其中74LS74为D触发器,可直接使用实验台上数字电路实验区的D触发器,74LS138为地址译码器。译码输出端Y0~Y7在实验台上“I/O地址“输出端引出,每个输出端包含8个地址,Y0:280H~287H,Y1:288H~28FH,……当CPU执行I/O指令且地址在280H~2BFH范围内,译码器选中,必有一根译码线输出负脉冲。

附图1 I/O地址译码电路

利用这个负脉冲控制L7闪烁发光(亮、灭、亮、灭、……),时间间隔通过软件延时实现。接线:Y4/IO地址接CLK/D触发器

Y5/IO地址接CD/D触发器

D/D触发器接SD/D角发器接+5V

Q/D触发器接逻辑笔

三、实验程序

;*******************************;

;* I/O地址译码*;

;*******************************;

outport1 equ 2a0h

outport2 equ 2a8h

code segment

assume cs:code

start:

mov dx,outport1

out dx,al

call delay ;调延时子程序

mov dx,outport2

out dx,al

call delay ;调延时子程序

mov ah,1

int 16h

je start

mov ah,4ch

int 21h

delay proc near ;延时子程序

mov bx,200

lll: mov cx,0

ll: loop ll

dec bx

jne lll

ret

delay endp

code ends

end start

四.实验总结

这次实验让我对I/O地址译码电路有了直观的认识,也对地址译码器74LS138、74LS74D 触发器也有了更深一步地了解,也对汇编程序的设计和编写更加熟悉。

实验二:8255并行接口实验

一、实验目的

通过实验,掌握8255工作于方式0以及设置A口为输出口,C口为输入口的方法。二.实验原理和内容

1.8255模块简介:

8255是Intel公司生产的与Intel8080/8085系列的MPU配套的可编程外围接口电路,简称PPI。它有A、B、C三个八位端口寄存器,通过24位端口线与外部设备相连,基中C口可分为上半部和下半部。这24根端口线全部为双向三态。三个端口可分二组来使用,可分别工作于三种不同的工作方式。

2.8255方式选择控制字分析,如下图所示:

?8255端口C置1/置0控制字分析(A1、A0 =11),下图所示:

?实验连线

3.实验电路,8255C口接逻辑电平开关K0~K7,A口接LED显示电路L0~L7。

编程从8255C口输入数据,再从A口输出。

附图2 8255并行接口电路

接线:PC7~PC0/8255 接K7~K0/逻辑电平开关PA7~PA0/8255 接L7~L0/LED显示

CS/8255 接Y1/IO地址

三、程序框图

四.实验程序

;*******************************;

;* 8255方式0的C口输入,A口输出*;

;*******************************;

io8255a equ 288h

io8255b equ 28bh

io8255c equ 28ah

code segment

assume cs:code

start: mov dx,io8255b ;设8255为C口输入,A口输出

mov al,8bh

out dx,al

inout: mov dx,io8255c ;从C口输入一数据

in al,dx

mov dx,io8255a ;从A口输出刚才自C口

out dx,al ;所输入的数据

mov dl,0ffh ;判断是否有按键

mov ah,06h

int 21h

jz inout ;若无,则继续自C口输入,A口输出

mov ah,4ch ;否则返回

int 21h

code ends

end start

五.实验总结

通过这次试验我了解了8255工作于方式0以及设置A口为输出口,C口为输入口的方法,也对8255控制字的设置和初始化程序编写、各端口功能控制方面的能力有所提高。

实验三:键盘显示控制实验

一、实验目的

掌握8255控制键盘及显示电路的基本功能及编程方法。

掌握一般键盘和显示电路的工作原理。

二、实验内容及原理

1.实验线路图

按原理图接线,

8255端口A地址为:288H

端口C地址为:28AH

控制口地址为:28BH

接线:PC7~PC0 /8255 接行3~列0 /4X4键盘

PA7~PA0 /8255 接dp~a /LED数码管

CS/8255 接Y1 /IO地址

+5V 接S0 /LED数码管

GND 接S3~S1 /LED数码管

2.实验内容

使得在小键盘上每按一个健,4位数码管上显示出相应字符,

三、流程图

四.程序

;********************;

;* 8255薄膜按键实验*;

;********************;

a8255 equ 288H ;8255 A口

c8255 equ 28aH ;8255 C口

k8255 equ 28bH ;8255控制口

data segment

table1 dw 0770h,0B70h,0D70h,0E70h,07B0h,0BB0h,0DB0h,0EB0h

dw 07D0h,0BD0h,0DD0h,0ED0h,07E0h,0BE0h,0DE0h,0EE0h ;键盘扫描码表LED DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH,77H,7CH

DB 39h,5EH,79h,71h,0ffh ; LED段码表,0,1,2,3,4,5,6,7,8,9,a,b,c,d,e,f char db '0123456789ABCDEF' ;字符表

mes db 0ah,0dh,'PLAY ANY KEY IN THE SMALL KEYBOARD! ',0ah,0dh

db 'IT WILL BE ON THE SCREEN! END WITH E ',0ah,0dh,'$'

key_in db 0h

data ends

stacks segment stack ;堆栈空间

db 100 dup (?)

stacks ends

code segment

assume cs:code,ds:data,ss:stacks,es:data

start:

cli

mov ax,data

mov ds,ax

mov es,ax

mov ax,stacks

mov ss,ax

mov dx,offset mes ;显示提示信息

mov ah,09

int 21h

MOV DX,k8255 ;初始化8255控制字

mov al,81h

out dx,al

main_key:

call key ;get a char in (key_in) and display it

call disply ;调显示子程序,显示得到的字符

cmp byte ptr key_in,'E'

jnz main_key

mov ax,4c00h ;if (dl)='E' return to EXIT!

int 21h ;退出

key proc near

key_loop:

mov ah,1

int 16h

jnz exit ;pc键盘有键按下则退出

mov dx,c8255

mov al,0fh

out dx,al

in al,dx ;读行扫描值

and al,0fh

cmp al,0fh

jz key_loop ;未发现有键按下则转

call delay ;delay for amoment

mov ah,al

MOV DX,k8255

mov al,88h

out dx,al

mov dx,c8255

mov al,ah

or al,0f0h

out dx,al

in al,dx ;读列扫描值

and al,0f0h

cmp al,0f0h

jz key_loop ;未发现有键按下则转

mov si,offset table1 ;键盘扫描码表首址

mov di,offset char ;字符表首址

mov cx,16 ;待查表的表大小

key_tonext:

cmp ax,[si] ;cmp (col,row) with every word

jz key_findkey ;in the table

dec cx

jz key_loop ;未找到对应扫描码

add si,2

inc di

jmp key_tonext

key_findkey:

mov dl,[di]

mov ah,02

int 21h ;显示查找到的键盘码

mov byte ptr key_in,dl

key_waitup:

MOV DX,k8255

mov al,81h

out dx,al

mov dx,c8255

mov al,0fh

out dx,al

in al,dx ;读行扫描值

and al,0fh

cmp al,0fh

jnz key_waitup ;按键未抬起转

call delay ;delay for amoment

ret

exit: mov byte ptr key_in,'E'

ret

key endp

delay proc near

push ax ;delay 50ms--100ms

mov ah,0

int 1ah

mov bx,dx

delay1:

mov ah,0

int 1ah

cmp bx,dx

jz delay1

mov bx,dx

delay2:

mov ah,0

int 1ah

cmp bx,dx

jz delay2

pop ax

ret

delay endp

DISPLY PROC NEAR

PUSH ax

MOV BX,OFFSET LED

MOV AL,byte ptr key_in

SUB al,30h

CMP al,09h

JNG DIS2

SUB al,07h

DIS2: XLAT

MOV DX,a8255

OUT DX,AL ;输出显示数据,段码

POP AX

RET

DISPLY ENDP

code ends

end start

五.实验总结

通过这次实验了解了8255控制键盘及显示电路的基本功能及编程方法和一般键盘和显示电路的工作原理。并且对复杂的汇编程序编写分模块用call指令调用的方法更加熟悉。

实验四:8254定时器/计数器实验

一、实验目的

掌握8254的基本工作原理和编程方法,用示波器观察不同方式下的波形。

二、实验原理和内容

按图4-8-1虚线连接电路,将计数器0设置为方式0,计数器初值为N(N≤0FH),用手动逐个输入单脉冲,编程使计数值在屏幕上显示,并同时用逻辑笔观察OUT0电平变化(当输入N+1个脉冲后OUT0变高电平)。

接线:CS /8254 接Y0 /IO 地址

GATE0 /8254 接+5V

CLK0 /8254 接单脉冲

三、实验程序

;*************************;

;* 8254方式0计数器实验*;

;*************************;

io8253a equ 283h

io8253b equ 280h

code segment

assume cs:code

start: mov al,14h ;设置8254通道0为工作方式2,二进制计数

mov dx,io8253a

out dx,al

mov dx,io8253b ;送计数初值为0FH

mov al,0fh

out dx,al

lll: in al,dx ;读计数初值

call disp ;调显示子程序

push dx

mov ah,06h

mov dl,0ffh

int 21h

pop dx

jz lll

mov ah,4ch ;退出

int 21h

disp proc near ;显示子程序

push dx

and al,0fh ;首先取低四位

mov dl,al

cmp dl,9 ;判断是否<=9

jle num ;若是则为'0'-'9',ASCII码加30H

add dl,7 ;否则为'A'-'F',ASCII码加37H

num: add dl,30h

mov ah,02h ;显示

int 21h

mov dl,0dh ;加回车符

int 21h

mov dl,0ah ;加换行符

int 21h

pop dx

ret ;子程序返回

disp endp

code ends

end start

四.实验总结

通过这次实验对8254的基本工作原理和编程方法有了更加实际的认识,而用示波器观察波形也让我重新复习了示波器的使用。

实验五:继电器控制实验

一、实验目的

1、了解微机控制直流继电器的一般方法。

2、进一步熟悉使用8255、8254。

二、实验原理和内容

实验电路如附图6,按虚线连接电路:CLK0接1MHZ,GATE0,GATE1,接+5V,OUT0接CLK1,OUT1接PA0,PC0接继电器驱动电路的开关输入端Ik。继电器常开触点串联一个发光二极管,编程使用8254定时,让继电器周而复始的闭合5秒钟(指示灯灯亮),断开5秒钟(指示灯灯灭)。

附图6继电器控制实验电路图

接线:CS /8254 接Y0 /IO 地址

GATE0 /8254 接+5V

CLK0 /8254 接1M时钟

OUT0 /8254 接CLK1 /8254

GATE1 /8254 接+5V

OUT1 /8254 接PC7 /8255

PC0 /8255 接继电器

CS /8255 接Y1 /IO地址

三、实验中使用的程序

io8255a equ 280h

io8255b equ 281h

io8255c equ 283h

io8255d equ 288h

io8255e equ 28bh

code segment

assume cs:code

start:

mov dx,io8255e ;设8255为A口输入,C口输出mov al,90h

lll: out dx,al

mov al,01 ;将PC0置位

out dx,al

call delay ;延时5s

mov al,0 ;将PC0复位

out dx,al

call delay ;延时5s

jmp lll ;转lll

delay proc near ;延时子程序

push dx

mov dx,io8255c ;设8253计数器为方式3

mov al,36h

out dx,al

mov dx,io8255a

mov ax,10000 ;写入计数器初值10000

out dx,al

mov al,ah

out dx,al

mov dx,io8255c

mov al,70h ;设计数器1为工作方式0

out dx,al

mov dx,io8255b

mov ax,500 ;写入计数器初值500

out dx,al

mov al,ah

out dx,al

ll2: mov ah,06 ;是否有键按下

mov dl,0ffh

int 21h

jne exit ;若有则转exit

mov dx,io8255d

in al,dx ;查询8255的PA0是否为高电平and al,01

jz ll2 ;若不是则继续

pop dx

ret ;定时时间到,子程序返回exit: mov ah,4ch

int 21h

delay endp

code ends

end start

四.实验总结

通过这次试验了解微机控制直流继电器的一般方法,对8255、8254的使用进一步熟悉。通过将8254计数器0设置为方式3、计数器1设置为方式0并联使用,CLK0接1MHZ时钟,设置两个计数器的初值(乘积为5000000)启动计数器工作后,经过5秒钟OUT1输出高电平。通过8255A口查询OUT1的输出电平,用C口PC0输出开关量控制继电器动作。实现了程序控制。

实验六:DMA传送

一、实验目的

1、掌握PC机工作环境下进行DMA方式数据传送(Block MODE和Demand Mode)(块传送、外部请求传送)方法。

2、掌握DMA的编程方法。

二、实验原理和内容

用通用插座按图4-11-2连接好电路(74LS74利用实验台上的D触发器)。编程将主机内存缓冲区D4000H,偏移量为0的10个数据,使用Demand Mode DMA方式从内存向外设传送。

接线:按各实验图接线,图中虚线为实验所需接线图中为实验台中单脉冲。

74LS74为实验台上D触发器

三、程序

code segment

assume cs:code

start:

mov ax,0D000h

mov es,ax

mov bx,4000h

mov cx,0ffh;100h

mov dl,40h

rep1: inc dl

mov es:[bx],dl

inc bx

cmp dl,5ah

jnz ss1

mov dl,40h

ss1: loop rep1

mov dx,18h ;关闭8237

mov al,04h

out dx,al

mov dx,1dh ;复位

mov al,00h

out dx,al

mov dx,12h ;写目的地址低位

mov al,00h

out dx,al

mov dx,12h ;写目的地址高位

mov al,60h;

out dx,al

mov dx,13h ;传送字节数低位

mov al,0ffh;

out dx,al

mov dx,13h ;传送字节数高位

mov al,0;1h

out dx,al

mov dx,10h ;源地址低位

mov al,00h

out dx,al

mov dx,10h ;源地址高位

mov al,40h

out dx,al

mov dx,1bh ;通道1写传输,地址增

mov al,85h

out dx,al

mov dx,1bh ;通道0读传输,地址增

mov al,88h

out dx,al

mov dx,18h ;DREQ低电平有效,存储器到存储器,开启8237

mov al,41h

out dx,al

mov dx,19h ;通道1请求

mov al,04h

out dx,al

mov cx,0F000h

delay: loop delay

mov ax,0D000h;---

mov es,ax

mov bx,06000h

mov cx,0ffh;

rep2: mov dl,es:[bx]

mov ah,02h

int 21h

inc bx

loop rep2

mov ax,4c00h

int 21h

code ends

end start

四.实验总结

通过这次DMA方式传送数据这次方式有了更加深刻的认识,DMA的传输速度比一般的传输方式要快很多,这也体现了DMA方式的便捷性。对DMA的初始化,牢记各个控制字的寻址,访问各端口的地址寄存器和字节计数器时要注意先传地位,再传高位。

实验七:8259 中断控制实验

一、实验目的

1、掌握中断控制器8259管理

2、掌握扩展中断

北航电子电路设计数字部分实验报告

电子电路设计数字部分实验报告 学院: 姓名:

实验一简单组合逻辑设计 实验内容 描述一个可综合的数据比较器,比较数据a 、b的大小,若相同,则给出结果1,否则给出结果0。 实验仿真结果 实验代码 主程序 module compare(equal,a,b); input[7:0] a,b; output equal; assign equal=(a>b)1:0; endmodule 测试程序

module t; reg[7:0] a,b; reg clock,k; wire equal; initial begin a=0; b=0; clock=0; k=0; end always #50 clock = ~clock; always @ (posedge clock) begin a[0]={$random}%2; a[1]={$random}%2; a[2]={$random}%2; a[3]={$random}%2; a[4]={$random}%2; a[5]={$random}%2; a[6]={$random}%2; a[7]={$random}%2; b[0]={$random}%2; b[1]={$random}%2; b[2]={$random}%2; b[3]={$random}%2; b[4]={$random}%2;

b[5]={$random}%2; b[6]={$random}%2; b[7]={$random}%2; end initial begin #100000 $stop;end compare m(.equal(equal),.a(a),.b(b)); endmodule 实验二简单分频时序逻辑电路的设计 实验内容 用always块和@(posedge clk)或@(negedge clk)的结构表述一个1/2分频器的可综合模型,观察时序仿真结果。 实验仿真结果

北航自动控制系统原理实验资料报告材料1-4合集

自动控制原理 实验报告 实验一二阶系统的电子模拟及时域响应的动态测试实验二频率响应测试 实验三控制系统串联校正 实验四控制系统数字仿真 : 学号:单位:仪器科学与光电工程学院 日期:2013年12月27日

实验一二阶系统的电子模拟及时域响应的动态测试 一、实验目的 1. 了解一、二阶系统阶跃响应及其性能指标与系统参数之间的关系。 2. 学习在电子模拟机上建立典型环节系统模型的方法。 3. 学习阶跃响应的测试方法。 二、实验容 1. 建立一阶系统的电子模型,观测并记录在不同时间常数T时的跃响应曲线,并测定其过渡过程时间TS。 2. 建立二阶系统的电子模型,观测并记录在不同阻尼比ζ时的跃响应曲线,并测定其超调量σ%及过渡过程时间TS。 三、实验原理 1.一阶系统:系统传递函数为: 模拟运算电路如图1- 1所示: 图1- 1 由图1-1得 在实验当中始终取R2= R1,则K=1,T= R2C取不同的时间常数T分别为:0.25、0.5、1 2.二阶系统: 其传递函数为: 令=1弧度/秒,则系统结构如图1-2所示: 图1-2 根据结构图,建立的二阶系统模拟线路如图1-3所示:

图1-3 取R2C1=1 ,R3C2 =1,则及 ζ取不同的值ζ=0.25 , ζ=0.5 , ζ=1 四、实验步骤 1. 确定已断开电子模拟机的电源,按照实验说明书的条件和要求,根据计算的电阻电容值,搭接模拟线路; 2. 将系统输入端与D/A1相连,将系统输出端与A/D1相; 3. 检查线路正确后,模拟机可通电; 4. 双击桌面的“自控原理实验”图标后进入实验软件系统。 5. 在系统菜单中选择“项目”——“典型环节实验”;在弹出的对话框中阶跃信号幅值选1伏,单击按钮“硬件参数设置”,弹出“典型环节参数设置”对话框,采用默认值即可。 6. 单击“确定”,进行实验。完成后检查实验结果,填表记录实验数据,抓图记录实验曲线。 五、实验设备 HHMN-1电子模拟机一台、PC机一台、数字式万用表一块 六、实验数据 T 0.25 0.5 1 R2 250K 500K 1M C 1μF 1μF 1μF Ts理论0.75s 1.5s 3.0s Ts实测0.763s 1.543s 3.072s Ts误差 1.73% 2.87% 2.40% 响应图形图1 图2 图3

北航电子电路设计训练模拟分实验报告

北航电子电路设计训练模拟部分实验报告

————————————————————————————————作者:————————————————————————————————日期:

电子电路设计训练模拟部分实验 实验报告

实验一:共射放大器分析与设计 1.目的: (1)进一步了解Multisim的各项功能,熟练掌握其使用方法,为后续课程打好基础。 (2)通过使用Multisim来仿真电路,测试如图1所示的单管共射放大电路的静态工作点、电压放大倍数、输入电阻和输出电阻,并观察 静态工作点的变化对输出波形的影响。 (3)加深对放大电路工作原理的理解和参数变化对输出波形的影响。 (4)观察失真现象,了解其产生的原因。 图 1 实验一电路图 2.步骤: (1)请对该电路进行直流工作点分析,进而判断管子的工作状态。 (2)请利用软件提供的各种测量仪表测出该电路的输入电阻。 (3)请利用软件提供的各种测量仪表测出该电路的输出电阻。 (4)请利用软件提供的各种测量仪表测出该电路的幅频、相频特性曲线。 (5)请利用交流分析功能给出该电路的幅频、相频特性曲线。 (6)请分别在30Hz、1KHz、100KHz、4MHz和100MHz这5个频点利用示波器测出输入和输出的关系,并仔细观察放大倍数和相位差。 (提示:在上述实验步骤中,建议使用普通的2N2222A三极管,并请注 意信号源幅度和频率的选取,否则将得不到正确的结果。) 3.实验结果及分析: (1)根据直流工作点分析的结果,说明该电路的工作状态。 由simulate->analyses->DC operating point,可测得该电路的静态工作点为:

北航微机原理实验1报告

实验一字符串排序 实验时间:2015.11.21 实验编号:同组同学:无一、实验背景 本实验可以实现对任意长度字符串的进行从小到大的排序并回显到屏幕上。 二、实验原理 本实验的采用的实验原理主要是冒泡法 原理图如下: 三、预习思考题的实验验证分析 预习思考题的实验分析验证(包括程序代码以及程序的执行结果)已经在预习报告中做出回答,在此不再进行赘述。 四、实验过程与结果 1、实验过程:(1)双击计算机桌面上的TPC-2003实验系统配套的软件;

(2)新建一个源程序,在当前运行环境下,选择菜单栏中的“文件”菜单,菜单下拉后选择“新建”,会弹出新建窗口,选择新建表单中的“ASM”,点击“确定”,新建汇编程序; (2)根据题目要求设计程序,并将其输入到软件界面上; (3)保存新建的源程序; (4)点击“汇编”的快捷键,编译调试窗口中显示出汇编结果,程序没有错误; (5)打开命令提示符操作界面,将生成的可执行文件拖入到其中,回车即可运行该程序; (6)对程序是否达到实验要求进行实验验证。 2、实验结果:本实验达到了以下要求 1、利用INT 21H的1号功能,从键盘输入任意长度的字符串,以回车符结束; 2、将输入的字符串存放在数据段中; 3、对输入的字符串按ASCII码从小到大排序(ASCII小者占低地址存放); 4、将排好序的字符串利用INT 21H的9号功能显示在微机屏幕上。 实验程序如下: STACK SEGMENT STACK DB 100 DUP(?) STACK ENDS DATA SEGMENT STR DB 100 DUP(?) DATA ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA,SS:STACK MAIN PROC MOV AX,DATA MOV DS,AX MOV SI,OFFSET STR

北航实验报告实验实验

实验三UC-OS移植实验 一、实验目的 在内核移植了uCOS-II 的处理器上创建任务。 二、实验内容 1.运行实验十,在超级终端上观察四个任务的切换。 2. 任务1~3,每个控制“红”、“绿”、“蓝”一种颜色的显示,适当增加OSTimeDly()的时间,且优先级高的任务延时时间加长,以便看清三种颜色。 3.引入一个全局变量BOOLEAN ac_key,解决完整刷屏问题。 4. #define rUTRSTAT0 (*(volatile unsigned *)0x50000010) #define RdURXH0()(*(volatile unsigned char *)0x50000024) 当键盘有输入时在超级终端上显示相应的字符。 三、实验设备 硬件:ARM嵌入式开发平台、用于ARM920T的JTAG仿真器、PC机Pentium100以上。 软件:PC机操作系统Win2000或WinXP、ARM ADS1.2集成开发环境、仿真器驱动程序、超级终端通讯程序。 四、实验原理 所谓移植,指的是一个操作系统可以在某个微处理器或者微控制器上运行。虽然uCOS-II的大部分源代码是用C语言写成的,仍需要用C语言和汇编语言完成一些与处理器相关的代码。比如:uCOS-II在读写处理器、寄存器时只能通过汇编语言来实现。因为uCOS-II在设计的时候就己经充分考虑了可移植性,所以,uCOS-II的移植还是比较容易的。 要使uCOS一工工可以正常工作,处理器必须满足以下要求: 1)处理器的C编译器能产生可重入代码。 2)在程序中可以打开或者关闭中断。 3)处理器支持中断,并A能产生定时中断(通常在10Hz}1000Hz之间)。 4)处理器支持能够容纳一定量数据的硬件堆栈。 5)处理器有将堆栈指针和其它CPU寄存器存储和读出到堆栈(或者内存)的指

完整版模拟电子电路实验报告

. 实验一晶体管共射极单管放大器 一、实验目的 1、学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响。 2、掌握放大器电压放大倍数、输入电阻、输出电阻及最大不失真输出电压的测试方法。 3、熟悉常用电子仪器及模拟电路实验设备的使用。 二、实验原理 图2-1为电阻分压式工作点稳定单管放大器实验电路图。它的偏置电路采用R 和R组成的分压电路,并在发射极中接有电阻R,以稳定放大器的静态工EB1B2作点。当在放大器的输入端加入输入信号u后,在放大器的输出端便可得到一i个与u相位相反,幅值被放大了的输出信号u,从而实现了电压放大。0i 图2-1 共射极单管放大器实验电路 在图2-1电路中,当流过偏置电阻R和R 的电流远大于晶体管T 的 B2B1基极电流I时(一般5~10倍),则它的静态工作点可用下式估算B教育资料.. R B1U?U CCB R?R B2B1 U?U BEB I??I EC R E

)R+R=UU-I(ECCCCEC电压放大倍数 RR // LCβA??V r be输入电阻 r R/// R=R/beiB1 B2 输出电阻 R R≈CO由于电子器件性能的分散性比较大,因此在设计和制作晶 体管放大电路时, 为电路设计提供必离不开测量和调试技术。在设计前应测量所用元器件的参数,还必须测量和调试放大器的静态工作点和各要的依据,在完成设计和装配以后,因此,一个优质放大器,必定是理论设计与实验调整相结合的产物。项性能指标。除了学习放大器的理论知识和设计方法外,还必须掌握必要的测量和调试技术。消除干扰放大器静态工作点的测量与调试,放大器的测量和调试一般包括:与自激振荡及放大器各项动态参数的测量与调试等。、放大器静态工作点的测量 与调试 1 静态工作点的测量1) 即将放大的情况下进行,=u 测量放大器的静态工作点,应在输入信号0 i教育资料. . 器输入端与地端短接,然后选用量程合适的直流毫安表和直流电压表,分别测量晶体管的集电极电流I以及各电极对地的电位U、U和U。一般实验中,为了避 ECCB免断开集电极,所以采用测量电压U或U,然后算出I的方法,例如,只要 测CEC出U,即可用E UU?U CECC??II?I,由U确定I(也可根据I),算出CCC CEC RR CE同时也能算出U=U-U,U=U-U。EBEECBCE为了减小误差,提高测量精度,应选用内阻较高的直流电压表。 2) 静态工作点的调试 放大器静态工作点的调试是指对管子集电极电流I(或U)的调整与测试。 CEC静态工作点是否合适,对放大器的性能和输出波形都有很大影响。如工作点偏高,放大器在加入交流信号以后易产生饱和失真,此时u的负半周将被削底,O 如图2-2(a)所示;如工作点偏低则易产生截止失真,即u的正半周被缩顶(一 O般截止失真不如饱和失真明显),如图2-2(b)所示。这些情况都不符合不失真放大的要求。所以在选定工作点以后还必须进行动态调试,即在放大器的输入端 加入一定的输入电压u,检查输出电压u的大小和波形是否满足要求。如不满Oi

微机原理实验四实验报告

实验报告

实验四 8251可编程串行口与PC机通信实验一、实验要求 利用实验箱内的8251A芯片,实现与PC机的通信。 二、实验目的 1.掌握8251A芯片结构和编程方法; 2.了解实现串行通信的硬件环境,数据格式和数据交换协议; 3.了解PC机通信的基本要求。 三、实验原理 (一)8251A芯片工作方式配置: 1. 8个数据位; 2.无奇偶校验位; 3.1个停止位; 4.波特率因子设为16; 5. 波特率设为9600。 (二)8251A主要寄存器说明 图4-1 模式字 图4-2 命令字

CO MMAN D I NSTR UCT ION FO RMA T 图4-3 状态字 (三)8251编程 对8251 的编程就是对8251 的寄存器的操作,下面分别给出8251 的几个寄存器的格式。(1)方式控制字 方式控制字用来指定通信方式及其方式下的数据格式,具体各位的定义如图4-4所示。 图4-4 方式控制字说明 (2)命令控制字 命令控制字用于指定8251 进行某种操作(如发送、接收、内部复位和检测同步字符等)或处于某种工作状态,以便接收或发送数据。图4-5 所示的是8251 命令控制字各位的定义。 图4-5命令控制字说明 (3)状态字 CPU 通过状态字来了解8251 当前的工作状态,以决定下一步的操作,8251 的状态字如 图4-6所示。 图4-6 状态字说明 四、实验电路连接: 1.CS8251接228H,CS8279已固定接至238H; 2.扩展通信口18中的232RXD连8251RXD ,232TXD连8251TXD;

3.计算机的两个RS232通信口,一个连至仿真机通信口,一个连至扩展通信口18(所有通信口均为DB9)。注意:RS232通信口必须在设备断电状态下插拔! 图4-7 连线图 五、实验内容及要求 1. 将例程从PDF文档中导入到WMD86软件编辑环境中,调试通过。使用软件自带的示波器,观察Txd管脚的输出,验证结果的正确性。将结果截图保存,贴入实验报告。 2.剔除例程中冗余部分,实现对例程的精简和优化。将精简内容与相应理由写入实验报告。 3.将自己学号的后三位数字通过RS232端口的Txd管脚输出。使用软件自带的示波器,观察Txd管脚的输出,验证结果的正确性。将结果截图保存,贴入实验报告。 4.通过读状态寄存器的方法,获得发送移位寄存器是否为空的信息,实现学号后三位数字的循环发送。将结果截图保存,贴入实验报告。 5.给每帧数据间添加固定的时间间隔,时间间隔为10000个指令周期。将结果截图保存,

北航自动控制原理实验报告(完整版)

自动控制原理实验报告 一、实验名称:一、二阶系统的电子模拟及时域响应的动态测试 二、实验目的 1、了解一、二阶系统阶跃响应及其性能指标与系统参数之间的关系 2、学习在电子模拟机上建立典型环节系统模型的方法 3、学习阶跃响应的测试方法 三、实验内容 1、建立一阶系统的电子模型,观测并记录在不同时间常数T时的响应曲线,测定过渡过程时间T s 2、建立二阶系统电子模型,观测并记录不同阻尼比的响应曲线,并测定超调量及过渡过程时间T s 四、实验原理及实验数据 一阶系统 系统传递函数: 由电路图可得,取则K=1,T分别取:0.25, 0.5, 1 T 0.25 0.50 1.00 R2 0.25MΩ0.5M Ω1MΩ C 1μ1μ1μ T S 实测0.7930 1.5160 3.1050 T S 理论0.7473 1.4962 2.9927 阶跃响应曲线图1.1 图1.2 图1.3 误差计算与分析 (1)当T=0.25时,误差==6.12%; (2)当T=0.5时,误差==1.32%; (3)当T=1时,误差==3.58% 误差分析:由于T决定响应参数,而,在实验中R、C的取值上可能存在一定误差,另外,导线的连接上也存在一些误差以及干扰,使实验结果与理论值之间存在一定误差。但是本实验误差在较小范围内,响应曲线也反映了预期要求,所以本实验基本得到了预期结果。 实验结果说明 由本实验结果可看出,一阶系统阶跃响应是单调上升的指数曲线,特征有T确定,T越小,过度过程进行得越快,系统的快速性越好。 二阶系统 图1.1 图1.2 图1.3

系统传递函数: 令 二阶系统模拟线路 0.25 0.50 1.00 R4 210.5 C2 111 实测45.8% 16.9% 0.6% 理论44.5% 16.3% 0% T S实测13.9860 5.4895 4.8480 T S理论14.0065 5.3066 4.8243 阶跃响应曲线图2.1 图2.2 图2.3 注:T s理论根据matlab命令[os,ts,tr]=stepspecs(time,output,output(end),5)得出,否则误差较大。 误差计算及分析 1)当ξ=0.25时,超调量的相对误差= 调节时间的相对误差= 2)当ξ=0.5时,超调量的相对误差==3.7% 调节时间的相对误差==3.4% 4)当ξ=1时,超调量的绝对误差= 调节时间的相对误差==3.46% 误差分析:由于本试验中,用的参量比较多,有R1,R2,R3,R4;C1,C2;在它们的取值的实际调节中不免出现一些误差,误差再累加,导致最终结果出现了比较大的误差,另外,此实验用的导线要多一点,干扰和导线的传到误差也给实验结果造成了一定误差。但是在观察响应曲线方面,这些误差并不影响,这些曲线仍旧体现了它们本身应具有的特点,通过比较它们完全能够了解阶跃响应及其性能指标与系统参数之间的关系,不影响预期的效果。 实验结果说明 由本实验可以看出,当ωn一定时,超调量随着ξ的增加而减小,直到ξ达到某个值时没有了超调;而调节时间随ξ的增大,先减小,直到ξ达到某个值后又增大了。 经理论计算可知,当ξ=0.707时,调节时间最短,而此时的超调量也小于5%,此时的ξ为最佳阻尼比。此实验的ξ分布在0.707两侧,体现了超调量和调节时间随ξ的变化而变化的过程,达到了预期的效果。 图2.2 图2.1 图2.3

北航自控实验报告3

成绩 北京航空航天大学 自动控制原理实验报告 班级 学号 学生姓名 自动控制与测试教学实验中心

实验三控制系统串联校正 一、实验目的 1. 了解和掌握串联校正的分析和设计方法。 2. 研究串联校正环节对系统稳定性及过渡过程的影响。 二、实验内容 1、设计串联超前校正,并验证。 2、设计串联滞后校正,并验证。 三、实验原理 1. 系统结构如图3-1所示: 图3-1 系统结构图 其中错误!未找到引用源。为校正环节,可放置在系统模型中来实现,也可使用模拟电路的方式来实现。 2. 系统模拟电路图如图3-2所示: 图3-2 系统模拟电路图 3. 未加校正时错误!未找到引用源。 4、加串联超前校正时错误!未找到引用源。,a>1。给定错误!未找到引用源。,则错误!未找到引用源。 5、加串联滞后校正时错误!未找到引用源。,b<1。给定错误!未找到引用源。,则错误!未找到引用源。 四、实验设备 1.HHMN-1电子模拟机一台。 2.PC机一台。 3.数字式万用表一块。 五、实验步骤

1.熟悉HHMN-1型电子模拟机的使用方法。将各运算放大器接成比例器,通电调零。断开电源,按照系统结构图和系统传递函数计算电阻和电容的取值,并按照模拟线路图搭接线路,不用的运算放大器接成比例器。 2.将D/A1与系统输入端Ui连接,将A/D1与系统输出端Uo连接(此处连接必须谨慎,不可接错)。线路接好后,经教师检查后再通电。 3.在Windows XP桌面用鼠标双击“MATLAB”图标后进入,在命令行处键入“autolab”进入实验软件系统。 4.在系统菜单中选择实验项目,选择“实验三”,在窗口左侧选择“实验模型”,其它步骤察看概述3.2节内容。 5.分别完成不加校正、加入超前校正、加入滞后校正的实验。在系统模型上的“Manual Switch”处可设置系统是否加入校正环节,在“错误!未找到引用源。”处可设置校正环节的传递函数。 6.绘制以上三种情况时系统的波特图。 7.采用示波器“Scope”观察阶跃响应曲线。观测试验结果,记录实验数据,绘制实验结果图形,完成实验报告。 六、实验结果 1.系统模拟运算电路图及元件参数 1M 1μ1μ 1M 250k 图3-2 系统模拟电路图及元件参数 2.系统不加校正、加超前校正、加滞后校正的阶跃响应曲线 图3-3a 系统不加校正阶跃响应曲线:

电子电路综合实验报告

电子电路实验3 综合设计总结报告题目:波形发生器 班级:20110513 学号:2011051316 姓名:仲云龙 成绩: 日期:2014.3.31-2014.4.4

一、摘要 波形发生器作为一种常用的信号源,是现代测试领域内应用最为广泛的通用仪器之一。在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都需要信号源,由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察、测量被测仪器的输出响应,以分析确定它们的性能参数。波形发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。它可以产生多种波形信号,如正弦波、三角波、方波等,因而广泛用于通信、雷达、导航等领域。 二、设计任务 2.1 设计选题 选题七波形发生器 2.2 设计任务要求 (1)同时四通道输出,每通道输出矩形波、锯齿波、正弦波Ⅰ、正弦波Ⅱ中的一种波形,每通道输出的负载电阻均为1K欧姆。 (2)四种波形的频率关系为1:1:1:3(三次谐波),矩形波、锯齿波、正弦波Ⅰ输出频率范围为8 kHz—10kHz,正弦波Ⅱ输出频率范围为24 kHz—30kHz;矩形波和锯齿波输出电压幅度峰峰值为1V,正弦波Ⅰ、Ⅱ输出幅度为峰峰值2V。(3)频率误差不大于5%,矩形波,锯齿波,正弦波Ⅰ通带内输出电压幅度峰峰值误差不大于5%,正弦波Ⅱ通带内输出电压幅度峰峰值误差不大于10%,矩形波占空比在0~1范围内可调。 (4)电源只能选用+9V单电源,由稳压电源供给,不得使用额外电源。

三、方案论证 1.利用555多谐振荡器6管脚产生8kHz三角波,3管脚Vpp为1V的8kHz的方波。 2.三角波通过滞回比较器和衰减网络产生8kHzVpp为1V的方波。 3.方波通过反向积分电路产生8kHzVpp为1V的三角波。 4.方波通过二阶低通滤波器产生8kHz低通正弦波。 5.方波通过带通滤波器产生中心频率为27kHz的正弦波。 系统方框图见图1 图1 系统方框图 此方案可以满足本选题技术指标,分五个模块实现产生所需的波形,而且电路模块清晰,容易调试,电路结构简单容易实现。

FPGA实验报告北航电气技术实验

FPGA电气技术实践 实验报告 院(系)名称宇航学院 专业名称飞行器设计与工程(航天)学生学号XXXXXXXX 学生姓名XXXXXX 指导教师XXXX 2017年11月XX日

实验一四位二进制加法计数器与一位半加器的设计实验时间:2017.11.08(周三)晚实验编号20 一、实验目的 1、熟悉QuartusII的VHDL的文本编程及图形编程流程全过程。 2、掌握简单逻辑电路的设计方法与功能仿真技巧。 3、学习并掌握VHDL语言、语法规则。 4、参照指导书实例实现四位二进制加法计数器及一位半加器的设计。 二、实验原理 .略 三、实验设备 1可编程逻辑实验箱EP3C55F484C8 一台(包含若干LED指示灯,拨码开关等)2计算机及开发软件QuartusII 一台套 四、调试步骤 1四位二进制加法计数器 (1)参照指导书实例1进行工程建立与命名。 (2)VHDL源文件编辑 由于实验箱上LED指示灯的显示性质为“高电平灭,低电平亮”,为实现预期显示效果应将原参考程序改写为减法器,且”q1<= q1+1”对应改为”q1<= q1-1”,以实现每输入一个脉冲“亮为1,灭为0”。 由于参考程序中的rst清零输入作用并未实现,所以应将程序主体部分的最外部嵌套关于rst输入是否为1的判断,且当rst为1时,给四位指示灯置数”1111”实现全灭,当rst为0时,运行原计数部分。 (3)参照指导书进行波形仿真与管脚绑定等操作,链接实验箱并生成下载文件 (4)将文件下载至实验箱运行,观察计数器工作现象,调试拨动开关查看是否清零。 可以通过改变与PIN_P20(工程中绑定为clk输入的I/O接口)相连导线的另一端所选择的实验箱频率时钟的输出口位置,改变LED灯显示变化频率。 并且对照指导书上对实验箱自带时钟频率的介绍,可以通过改变导线接口转换输入快慢,排查由于clk输入管脚损坏而可能引起的故障。

微机原理实验报告

微 机 原 理 实 验 报 告 班级: 指导老师:学号: 姓名:

实验一两个多位十进制数相加的实验 一、实验目的 学习数据传送和算术运算指令的用法 熟悉在PC机上建立、汇编、链接、调试和运行汇编语言程序的过程。 二、实验内容 将两个多位十进制数相加,要求被加数和加数均以ASCII码形式各自顺序存放在以DATA1、DATA2为首的5个内存单元中(低位在前),结果送回DATA1处。 三、程序框图 图3-1

四、参考程序清单 DATA SEGMENT DATA1 DB 33H,39H,31H,37H,34H;被加数 DATA1END EQU $-1 DATA2 DB 34H,35H,30H,38H,32H;加数 DATA2END EQU $-1 SUM DB 5 DUP(?) DATA ENDS STACK SEGMENT STA DB 20 DUP(?) TOP EQU LENGTH STA STACK ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA,SS:STACK,ES:DATA START: MOV AX,DATA MOV DS,AX MOV AX,STACK MOV SS,AX MOV AX,TOP MOV SP,AX

MOV SI,OFFSET DATA1END MOV DI,OFFSET DATA2END CALL ADDA MOV AX,4C00H INT 21H ADDA PROC NEAR MOV DX,SI MOV BP,DI MOV BX,05H AD1: SUB BYTE PTR [SI],30H SUB BYTE PTR [DI],30H DEC SI DEC DI DEC BX JNZ AD1 MOV SI,DX MOV DI,BP MOV CX,05H CLC AD2: MOV AL,[SI] MOV BL,[DI] ADC AL,BL

北航17系光电子实验报告实验5讲解

光电子技术实验报告

实验五光电池特性实验 一.实验目的: 1.学习掌握硅光电池的工作原理。 2.学习掌握硅光电池的基本特性。 3.掌握硅光电池基本特性测试方法。 二.实验原理: 光电池是一种不需要加偏置电压就能把光能直接转换成电能的PN结光电器件,按光电池的功用可将其分为两大类:即太阳能光电池和测量光电池,本仪器用的是测量用的硅光电池,其主要功能是作为光电探测,即在不加偏置的情况下将光信号转换成电信号。 图(20)图(21)如图(20)所示为2DR型硅光电池的结构,它是以P型硅为衬底(即在本征型硅材料中掺入三价元素硼或镓等),然后在衬底上扩散磷而形成N型层并将其作为受光面。如图(21)所示当光作用于PN结时,耗尽区内的光生电子与空穴在内建电场力的作用下分别向N区和P区运动,在闭合电路中将产生输出电流IL,且负载电阻RL上产生电压降为U。显然,PN结获得的偏置电压U与光电池输出电流IL与负载电阻RL有关,即U=IL?RL,当以输出电流的IL为电流和电压的正方向时,可以得到如图(22)所示的伏安特性曲线。

图(22)图(23)光电池在不同的光强照射下可以产生不同的光电流和光生电动势,硅光电池的光照特性曲线如图(23)所示,短路电流在很大范围内与光强成线性关系,开路电压随光强变化是非线性的,并且当照度在2000lx时就趋于饱和,因此,把光电池作为测量元件时,应把它当作电流源来使用,不宜用作电压源。 硒光电池和硅光电池的光谱特性曲线如图(25)所示,不同的光电池其光谱峰值的位置不同,硅光电池的在800nm附近,硒光电池的在540nm附近,硅光电池的光谱范围很广,在450~1100nm之间,硒光电池的光谱范围为340~750nm。 图(24)图(25)光电池的温度特性主要描述光电池的开路电压和短路电流随温度变化的情况,由于它关系到应用光电池设备的温度漂移,影响到测量精度或控制精度等主要指标,光电池的温度特性如图(24)所示。开路电压随温度升高而下降的速度较快,而短路电流随温度升高而缓慢增加,因此,当使用光电池作为测量元件时,在系统设计中应考虑到温度的漂移,并采取相应的措施进行补偿。 三.实验所需部件: 两种光电池、各类光源、实验选配单元、数字电压表(4 1/2位)自备、微安表(毫安表)、激光器、照度计(用户选配)。

北京理工大学微机原理实验报告

微机原理与接口技术 实验报告 实验内容:汇编语言程序设计实验 组别:12 姓名: 班级: 学号:

一、实验目的 1、熟悉IDE86集成开发环境的使用。 2、通过编程、上机调试,进一步理解汇编语言的设计思路与执行过程。 3、熟悉DOS命令调用,以达到输入输出、返回DOS系统等目的。 4、掌握利用汇编实现求和与求最值的方法。 5、掌握利用汇编实现数制转换的方法。 6、巩固理论知识,锻炼动手编程,独立思考的能力。 二、实验内容(具体内容) 1、求从TABLE开始的10个无符号字节数的和,并将结果放在SUM字单元中。并查看前5个,前8 个数之和以及各寄存器和内存的状态。 2、在1的基础上修改程序,求出10个数中的最大值和最小值,最后将最大最小值分别赋给MAX及 MIN。 3、求1到 100 的累加和,并用十进制形式将结果显示在屏幕上。要求实现数据显示,并返回DOS 状态。 三、实验方法 1、设计思路 (1)实验1的设计思路:先将10个要相加的数存在以TABLE为首的10个连续的存储单元中,然后利用循环结构依次取出数值放在AL中并累加,若有进位则加到AH中直至循环10次累加结束,将累加的结果放在SUM中并返回DOS状态。 (2)实验2的设计思路:先将10个要比较的数放在以TABLE为首的10个连续的存储单元中。 将第一个数首先赋给AL和AH(分别存储相对最小和最大值)在利用LOOP循环结构,依次和下面的数进行比较,每次把相对的最大值与最小值存储到AH和AL中直至循环9次比较结束,将AH和AL里面的最大值与最小值赋给MAX和MIN,返回DOS状态 (3)实验3的设计思路:先在内存中定义COUNT=100,表示1-100求和,若相求1-n的和并显示只需COUNT的值为n即可,同时定义一块以DNUM为首地址的数据区用于存储累加和的十进制数对应的ASCII码。先利用AX和LOOP求出1-COUNT的累加和存在AX中;在进行数值转化,AX 依次除10取余数保存,将16进制数转化为10进制ASCII码值并存在DUNM中。最后在屏幕上显示并返回DOS状态。 2程序流程图 实验一、二和三的流程图分别如图1、图2和图3所示

北航电力电子实验报告

电力电子实验报告 学号12031006 王天然

实验一功率场效应晶体管(MOSFET)特性 与驱动电路研究 一.实验目的: 1.熟悉MOSFET主要参数的测量方法 2.掌握MOSEET对驱动电路的要求 3.掌握一个实用驱动电路的工作原理与调试方法 二.实验设备和仪器 1.NMCL-07电力电子实验箱中的MOSFET与PWM波形发生器部分 2.双踪示波器 3.安培表(实验箱自带)

4.电压表(使用万用表的直流电压档) 三.实验方法 1.MOSFET 主要参数测试 (1)开启阀值电压V GS(th)测试 开启阀值电压简称开启电压,是指器件流过一定量的漏极电流时(通常取漏极电流I D =1mA)的最小栅源极电压。 在主回路的“1”端与MOS 管的“25”端之间串入毫安表(箱上自带的数字安培表表头),测量漏极电流I D ,将主回路的“3”与“4”端分别与MOS 管的“24”与“23”相连,再在“24”与“23”端间接入电压表, 测量MOS 管的栅源电压Vgs ,并将主回路电位器RP 左旋到底,使Vgs=0。 图2-2 MOSFET实验电路

将电位器RP逐渐向右旋转,边旋转边监视毫安表的读数,当漏 极电流I D=1mA时的栅源电压值即为开启阀值电压V GS(th)。 读取6—7组I D、Vgs,其中I D=1mA必测,填入下表中。 I D 0.2 0.5 1 5 100 200 500 (mA) Vgs 2.64 2.72 2.86 3.04 3.50 3.63 3.89 (V) (2)跨导g FS测试 双极型晶体管(GTR)通常用h FE(β)表示其增益,功率MOSFET 器件以跨导g FS表示其增益。 跨导的定义为漏极电流的小变化与相应的栅源电压小变化量之 比,即g FS=△I D/△V GS。 ★注意典型的跨导额定值是在1/2额定漏极电流和V DS=15V下测得,受条件限制,实验中只能测到1/5额定漏极电流值,因此重点是掌握跨导的测量及计算方法。 根据上一步得到的测量数值,计算gFS=0.0038Ω

北航eda实验报告

2014-2015-2-G02A3050-1 电子电路设计训练(数字EDA部分) 实验报告 (2015年5月19日) 教学班学号姓名组长签名成绩120311王天然* 120311马璇 120312唐玥 自动化科学与电气工程学院

目录 ( 2015年5月19日).........................................错误!未定义书签。目录 .........................................................错误!未定义书签。实验一、简单组合逻辑和简单时序逻辑............................错误!未定义书签。 简单的组合逻辑设计..................................错误!未定义书签。 实验目的和内容:..................................错误!未定义书签。 实验源代码:......................................错误!未定义书签。 测试模块源代码:..................................错误!未定义书签。 简单分频时序逻辑电路的设计...........................错误!未定义书签。 实验目的和内容:..................................错误!未定义书签。 实验源代码:......................................错误!未定义书签。 实验测试源代码:..................................错误!未定义书签。 (选作)设计一个字节(8位)比较器....................错误!未定义书签。 实验内容:........................................错误!未定义书签。 实验代码:........................................错误!未定义书签。 实验测试源代码:..................................错误!未定义书签。 实验小结.............................................错误!未定义书签。实验二、条件语句和always过程块...............................错误!未定义书签。 实验任务1——利用条件语句实现计数分频时序电路.......错误!未定义书签。 实验要求.........................................错误!未定义书签。 模块的核心逻辑设计...............................错误!未定义书签。 测试程序的核心逻辑设计...........................错误!未定义书签。 仿真实验关键结果及其解释.........................错误!未定义书签。 实验任务2——用always块实现较复杂的组合逻辑电路....错误!未定义书签。

微机原理实验报告

微机原理实验报告 计算机网络的设计是一个要求动手能力很强的一门实践课程,在课程设计期间我努力将自己以前所学的理论知识向实践方面转化,尽量做到理论与实践相结合,在课程设计期间能够遵守纪律规章,不迟到、早退,认真完成老师布置的任务,同时也发现了自己的许多不足之处。 在课程设计过程中,我一共完成了11个实验,分别是1.制作直通电缆和交叉UTP、2.交换机Console口和Telnet配置、3.交换机端口和常规配置、4.虚拟局域网VLAN配置、5.路由器Console口Telnet 配置方法和接口配置、6.路由器静态路由配置、7单臂路由配置、8.动态路由协议配置、9.PPP协议配置、10路由器访问控制表(ACL)、11.网络地址转换(NAT)。 在制作直通电缆和交换UTP的实验中,我起初不能完全按照要求来剪切电缆,导致连接不通,后来在同学的帮助下,终于将实验完成。 在做到单臂路由配置和动态路由协议配置的实验,由于自身的基础知识掌握不牢,忘掉了一些理论知识,在重新翻阅课本和老师的指导之下,也成功的完成了试验。 从抽象的理论回到了丰富的实践创造,细致的了解了计算机网络连接的的全过程,认真学习了各种配置方法,并掌握了利用虚拟环境配置

的方法,我利用此次难得的机会,努力完成实验,严格要求自己,认真学习计算机网络的基础理论,学习网络电缆的制作等知识,利用空余时间认真学习一些课本内容以外的相关知识,掌握了一些基本的实践技能。 课程设计是培养我们综合运用所学知识,发现、提出、分析、解决问题的一个过程,是对我们所学知识及综合能力的一次考察。随着科学技术日新月异的不断发展,计算机网络也在不断的变化发展当中,这就要求我们用相应的知识来武装自己,夯实基础,为将来走向工作岗位,贡献社会做好充分的准备。

北航电涡流传感器实验报告

电涡流传感器实验报告 38030414蔡达 一、实验目的 1.了解电涡流传感器原理; 2.了解不同被测材料对电涡流传感器的影响。 二、实验仪器 电涡流传感器实验模块,示波器:DS5062CE,微机电源:WD990型,士12V,万用表:VC9804A型,电源连接电缆,螺旋测微仪 三、实验原理 电涡流传感器由平面线圈和金属涡流片组成,当线圈中通以高频交变电流后,在与其平行的金属片上会感应产生电涡流,电涡流的大小影响线圈的阻抗Z,而涡流的大小与金属涡流片的电阻率、导磁率、厚度、温度以及与线圈的距离X有关,当平面线圈、被测体(涡流片)、激励源确定,并保持环境温度不变,阻抗Z只与距离X有关,将阻抗变化转为电压信号V输出,则输出电压是距离X的单值函数。

四. 实验数据及处理 1.铁片 0.5 1 1.52 2.5 3 3.5 电涡流传感器电压位移曲线—铁片 电压/V 位移/mm

0.5 1 1.5 2 2.53 3.5 电涡流传感器电压位移拟合曲线—铁片 电压/V 位移/mm 其线性工作区为0.6——3.4,对该段利用polyfit 进行函数拟合,可得V=-1.0488X-1.2465 2.铜片

电涡流传感器电压位移曲线—铜片 电压/V 位移/mm 2.2 2.4 2.6 2.83 3.2 3.4 3.6 -6-5.95-5.9-5.85 -5.8-5.75-5.7 -5.65-5.6-5.55-5.5电涡流传感器电压位移拟合曲线—铜片 电压/V 位移/mm 其线性工作区为2.4——3.4,对该段利用polyfit 进行函数拟合,可得V= -0.4500X -4.4667

模拟电子电路实验报告

实验一晶体管共射极单管放大器 一、实验目的 1、学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响。 2、掌握放大器电压放大倍数、输入电阻、输出电阻及最大不失真输出电压的测试方法。 3、熟悉常用电子仪器及模拟电路实验设备的使用。 二、实验原理 图2-1为电阻分压式工作点稳定单管放大器实验电路图。它的偏置电路采用R B1和R B2组成的分压电路,并在发射极中接有电阻R E,以稳定放大器的静态工作点。当在放大器的输入端加入输入信号u i后,在放大器的输出端便可得到一个与u i相位相反,幅值被放大了的输出信号u0,从而实现了电压放大。 图2-1 共射极单管放大器实验电路 在图2-1电路中,当流过偏置电阻R B1和R B2的电流远大于晶体管T 的 基极电流I B时(一般5~10倍),则它的静态工作点可用下式估算

CC B2 B1B1 B U R R R U +≈ U CE =U CC -I C (R C +R E ) 电压放大倍数 be L C V r R R βA // -= 输入电阻 R i =R B1 // R B2 // r be 输出电阻 R O ≈R C 由于电子器件性能的分散性比较大,因此在设计和制作晶体管放大电路时,离不开测量和调试技术。在设计前应测量所用元器件的参数,为电路设计提供必要的依据,在完成设计和装配以后,还必须测量和调试放大器的静态工作点和各项性能指标。一个优质放大器,必定是理论设计与实验调整相结合的产物。因此,除了学习放大器的理论知识和设计方法外,还必须掌握必要的测量和调试技术。 放大器的测量和调试一般包括:放大器静态工作点的测量与调试,消除干扰与自激振荡及放大器各项动态参数的测量与调试等。 1、 放大器静态工作点的测量与调试 1) 静态工作点的测量 测量放大器的静态工作点,应在输入信号u i =0的情况下进行, 即将放大 C E BE B E I R U U I ≈-≈

北航电气实验FPGA实验报告

北京航空航天大学电气实验报告 FPGA实验 张天 130325班 学号:13031220

一.实验目的 略 二.实验要求 略 三.实验设备 略 四.实验内容 略 五.实验实例 1.实例6-1 思考题1:输出信号q3q2q1绑定接口电路的七段数码管或米字型数码管或LED点 阵显示? 答: 思考题2:怎样修改成4位二进制减法计数器,具有清零,启动控制功能等? 答: 思考题3:把计数器修改成2位或更多位十进制计数功能,再用七段数码管进行显示等? 答: 2.实例6-2 思考题:一位半加器电路采用VHDL语言实验 答: library ieee; use ieee.std_logic_1164.all; use iee.std_logic_unsigned.all; entity halfadd is port (a,b;in std_logic sum,carry; out std_logic) end entity halfadd; architecture halfadd is begin sum<=a and(not b)+b and (not a); carry<= a and b; end architecture halfadd; 六.实验过程 我们组做的是一个利用led点阵规律亮灭变化形成字体,并且字体产生变化,形成“自动化?”的样子,实现图片如下图:

1.实验分析: 实验设计思路: 本实验的设计思路是利用led灯的 辉光效应,利用逐行扫描,在高频情况下就会显示所有行的亮灯,进而形成汉字,并且有时钟计数程序,当时钟数字达到规定值(本实验为111111111b)时,跳转到下一个状态,显示第二个憨子。每个汉字的颜色由led灯决定,改led矩阵有红绿两种led灯,因此有红绿橙三种颜色显示。 2.实现过程 对设计思路的实现并非一帆风顺,最初编写的时候遇到了一些问题。首先,定义输入输出角是个繁琐的事情(需要定义40+次,每次必须手动),另外,在程序编写过程中,也出现了一些逻辑错误,对于错误,我们仔细逐条语句分析,最终解决了错误,解决过程中也加深了对FPGA的语言逻辑及硬件结构的理解。 七.FPGA使用心得 在学习FPGA过程中,我获得了很多收获。首先,由于有单片机的基础,上手过程并不是十分复杂,对于输入输出的理解我没有遇到太多阻碍。这次学习也验证了我具有短时间内掌握一款新型的芯片的能力。学习过程中最大的困难就是VDHL语言的编写。我们以前有c语言的基础,不过学习这种新的语言还是花出了不少时间与精力,现在可以说基本掌握的VDHL的基本写法和思路,能运 用到需要的程序中来。另外,调试的过程能极强地加大对程序的理解及逻辑的构建,在调试中,逐渐明白了以前不懂的东西,对FPGA的工作原理的理解更加透彻了。 不了解的人可能会把FPGA当做一种单片机,但其实,相比于单片机,FPGA 是有很多优势的。其无固定的硬件结构使其具有远超单片机的灵活性,另外,它的编程方法可以同步进行多个process 使其能同步处理多个进程,因此,它的

相关主题
文本预览
相关文档 最新文档