当前位置:文档之家› 汽车尾灯控制系统

汽车尾灯控制系统

汽车尾灯控制系统
汽车尾灯控制系统

汽车尾灯控制系统

设计任务:汽车尾灯控制系统

(一)顶层系统方案构思

图9-21表示汽车尾灯控制系统的顶层符号图。汽车尾灯控制器命名为QWK。

输入信号:左转弯传感器LH,右转弯传感器RH和紧急制动或慢行传感器JMH,另外,汽车尾灯主要是给后面行使汽车的司机注意。为了使尾灯的光信号更明显,采用亮灭交替的闪烁信号,其闪烁周期为2秒,即尾灯亮1秒,灭1秒,再亮1秒…。在图9-21中设置了一个1秒时钟的输入信号CP。

输出信号:输出共设两个,左面一个尾灯,右面一个尾灯,既左转弯时指示灯LD和右转弯时指示灯RD。

(二)控制器设计

从上至下的设计方法首先是从系统级分析,然后进行模块划分,分为受控部分和控制器部分。此尾灯控制系统的受控部分是传感器和指示灯,仅用几个二进制信号就可以完成,所以我们把精力放在设计控制器模块上。

汽车尾灯控制系统设置为四个状态,它们有以下定义:

A状态:传感器LH、RH、JMH信号无效,皆为0,表示汽车保持一定速度的直行或静止不动,左尾灯LD和右尾灯RD不闪烁发光。

B状态:传感器LH有效,RH、JMH无效,此时LH、RH、JMH状态为100,表示汽车向左转,左尾灯交替闪烁,右尾灯灭。

C状态:传感器RH有效,LH、JMH无效,此时LH、RH、JMH状态为010,表示汽车向右转,右尾灯交替闪烁,左尾灯灭。

D状态:传感器JMH有效,LH、RH无效,此时LH、RH、JMH状态为001,表示汽车慢行或紧急情况发生采取紧急制动,左右尾灯同时交替闪烁。

根据上述情况可以初步画出汽车尾灯控制系统的MDS图,如图9-22所示。

但是,图9-22的MDS图还存在以下几个问题。

1. 汽车在左转弯或右转弯时,相对应的左侧灯或右侧灯闪烁,但汽车同时有可能要放慢速度,JMH传感器有效;或者汽车已经放慢速度,JMH传感器生效,使左侧灯和右侧灯闪烁,

同时又要左转弯或右转弯,使得LH或RH有效。此时LH、RH、JMH状态为101或011,尾灯控制器同时进入B和D状态或C和D状态,这是不可能的,因为对于LH和JMH(或RH和JMH)同时为1,即LH·JMH(RH·JMH)=1这样的转换条件,只能有一个状态,使上述两个状态的次态规定为D状态。

2. 图9-22的MDS图不满足全包含性,如LH、RH、JMH的组合状态为111和110。当然,一般不会发生这种情况,除非传感器失灵。不过我们也可以把这种情况的次态定义为D态。后面行驶汽车的司机看到前面汽车不断闪烁的左右尾灯信号,认为前面汽车要减速,故为了安全也要减速,就不会撞上前面传感器失灵的汽车了。故把A至D状态的转换条件改写成JMH+LH·RH;把状态A至状态B的转换条件改写成;把状态A至状态C的转换条件改写成。

综合上述考虑,转换条件的逻辑和为:

由转换条件重新画出图9-22汽车尾灯控制器的全状态MDS图,如图9-23所示。图9-23满足了全包含性的要求。图9-24画出了汽车尾灯控制器的MDS图。

(三)汽车尾灯控制器的VHDL程序实现

1. 汽车尾灯控制器实体设计程序

LIBRARY ieee;

USE ieee.std_logic_1164.all;

ENTITY QWK IS

PORT(LH, RH,JMH,CP:IN std_logic;

LD,RD:OUT std_logic); END QWK;

2. 汽车尾灯控制器结构体设计程序ARCHITECTURE QWK_arc OF QWK IS type state_TYPE is (QA,QB,QC,QD); SIGNAL current_state:state_TYPE:=QA; BEGIN

PROCESS(LH,RH,JMH)

BEGIN

WAIT UNTIL CP'EVENT AND CP=‘1';

CASE current_state IS

WHEN QA=>

IF LH='1' AND RH='0' AND JMH='0' THEN

current_state<=QB;

ELSIF LH='0' AND RH='1' AND JMH='0' THEN

current_state<=QC;

ELSIF LH='0' AND RH='0' AND JMH='0' THEN current_state<=QA; RD<='1'; LD<='1'; ELSE current_state<=QD;

END IF ;

WHEN QB=>

IF LH='1' AND RH='0' AND JMH='0' THEN current_state<=QB; RD<='1'; LD<=CP; ELSE

current_state<=QA;

END IF ;

WHEN QC=>

IF LH='0' AND RH='1' AND JMH='0' THEN current_state<=QC; RD<=CP; LD<='1'; ELSE

current_state<=QA;

END IF ;

WHEN QD=>

IF JMH='1' OR ((LH='1') AND (RH='1')) THEN current_state<=QD; LD<=CP; RD<=CP;

ELSE

current_state<=QA;

END IF ;

END CASE;

END PROCESS ;

END QWK_arc;

基于单片机-AT89C51-的汽车尾灯控制电路课程设计

物理与电子信息系 课程设计报告 课程名称:单片机课程设计 题目:汽车尾灯的设计 学生姓名:李海标学号:11409321 学生姓名:唐凯学号:11409310 系部:物理与电子信息系 专业年级:电子信息工程专业2011级指导教师:余胜 职称:副教授 湖南人文科技学院物理与电子信息系制

目录 摘要.................................................................................................................................. - 1 - 1、设计课题任务、功能要求说明及总体方案介绍................................................................ - 2 - 1.1设计课题任务............................................................................................................... - 2 - 1.2功能要求说明............................................................................................................... - 2 - 1.3设计课题总体方案介绍及工作原理说明................................................................... - 2 - 1.3.1汽车尾灯的设计思路与频率计算................................................................... - 2 - 1.3.2AT89C51芯片介绍....................................................................................... - 3 - 2、设计课题硬件系统的设计.................................................................................................... - 6 - 2.1设计课题硬件系统各模块功能简要介绍................................................................... - 6 - 2.1.1复位电路........................................................................................................... - 6 - 2.1.2时钟振荡电路................................................................................................... - 7 - 2.1.3独立键盘电路................................................................................................... - 7 - 2.1.4 LED显示电路................................................................................................. - 8 - 2.2设计课题电路原理图、PCB 图、元器件清单.......................................................... - 9 - 2.2.1 原理图............................................................................................................ - 9 - 2.2.2 PCB图........................................................................................................... - 9 - 2.2.3 仿真图............................................................................................................ - 9 - 2.2.4 元器件清单.................................................................................................... - 9 - 3、设计课题软件系统的设计.................................................................................................... - 9 - 3.1设计课题使用单片机资源的情况............................................................................... - 9 - 3.1.1 键盘设定........................................................................................................ - 9 - 3.1.2 发光二级管显示设定.................................................................................. - 10 - 3.2设计课题软件系统程序流程框图............................................................................. - 10 - 3.2.1 主程序流程图................................................................................................ - 10 - 3.2.2键扫程序流程图............................................................................................. - 10 - 3.2.3延时程序流程图............................................................................................. - 11 - 3.2.4 显示程序流程图............................................................................................ - 12 - 3.3设计课题软件系统程序清单..................................................................................... - 13 - 4、仿真结果与误差分析 ......................................................................................................... - 14 - 4.1汽车尾灯控制电路的使用说明................................................................................. - 14 - 4.2汽车尾灯控制仿真结果............................................................................................. - 14 - 4.3硬件调试 .................................................................................................................... - 15 - 4.4设计体会 .................................................................................................................... - 15 - 致谢 ....................................................................................................................................... - 16 - 参考文献 ................................................................................................................................... - 17 - 附录 ....................................................................................................................................... - 18 - 一、原理图........................................................................................................................ - 19 - 二、PCB图 ........................................................................................................................ - 19 - 三、仿真电路图................................................................................................................ - 20 - 四、设计课题元器件清单................................................................................................ - 20 - 五、程序清单.................................................................................................................... - 22 -

课程设计——汽车尾灯控制器的设计1

& 成绩:分 ××××系 课程设计报告书 课程设计名称电子产品综合设计 《 汽车尾灯控制器的设计 题目 学生姓名 专业 班级 : 指导教师 日期:2010年7月5日 {

摘要:本设计根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块、左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。 关键字:时钟信号,EDA工具,状态机 Abstract: This design is according to the computer state machine theory, using VHDL taillight design the various parts of the controller and use the EDA tools for simulation of each taillight controller design is divided into four modules: the clock frequency module, the taillight major control module, left lamp control module and right lamp control module after the formation of a car taillight integrated the input system clock signal and the signal related to vehicle control, vehicle tail lights will correctly display the current state of vehicle control. Key words: The clock signal, EDA tools, the computer state machine theory · -

电子设计毕业设计-汽车尾灯控制电路设计论文资料-正文

1 引言 在日新月异的21世纪里,电子产品得到了迅速发展。许多电器设备都趋于人性化、智能化,这些电器设备大部分都含有CPU 控制器或者是单片机。单片机以其高可靠性、高性价比、低电压、低功耗等一系列优点,近几年得到迅猛发展和大范围推广,广泛应用于工业控制系统、通讯设备、日常消费类产品和玩具等。并且已经深入到工业生产的各个环节以及人民生活的各个方面,如车间流水线控制、自动化系统等、智能型家用电器(冰箱、空调、彩电)等。用单片机来控制的小型电器产品具有便携实用,操作简单的特点。 本文设计的汽车尾灯控制电路属于小型智能电子产品。利用单片机进行控制,实时时钟芯片进行记时,外加掉电存储电路和显示电路。此设计具有相当重要的现实意义和实用价值。 2 系统概述 本设计以AT89S52单片机为核心,构成单片机控制电路,完成对它们的自动调整和掉电保护。人机接口由四个按键来实现,用这四个按键对汽车左转,右转,停车和检测进行控制。。软件控制程序实现所有的功能。整机电路使用+5V 稳压电源,可稳定工作。系统框图如图2-1所示,其软硬件设计简单,可广泛应用于长时间工作的系统中。 图2-1 系统框图 3 方案选择 由于汽车尾灯控制电路的种类比较多,因此方案选择在设计中是至关重要的。正确地选择方案可以减小开发难度,缩短开发周期,降低成本,更快地将产品推向市场。 ** 方案1——基于AT89S52单片机的汽车尾灯控制电路设计 直接用AT89S52单片机来实现汽车尾灯控制电路设计。AT89S52是一种带8K 字节闪烁可编程可擦除只读存储器的低电压,高性能CMOS 8位微处理器,俗称单片机。单片机的可擦除只读存储器可以反复擦写1000余次。由于将多功能8位CPU 和闪烁存储器组合在单个芯片中,A TMEL 的A T89S52是一种高效微控制器,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。 用单片机来实现汽车尾灯控制电路设计,无须外接其他芯片,充分利用了单片机的资源。 ** 方案2——基于电子元件的汽车尾灯控制电路设计 人机接口 显示电路 软件控制程序 电源电路 单片机控制电路

基于单片机汽车信号灯控制系统的设计

摘要 在生活的环境中,自动控制要求中都会有单片机的控制的一部分;从简单到复杂,凡是能想象到的地方几乎都有使用单片机的需求。单片机的应用有利于产品的小型化、多功能化和智能化,能够提高劳动效率、减轻劳动强度,提升产品质量,改善劳动环境。例如,在工业自动化方面:自动化能使工业系统处于最佳状态、提高经济效益和改善产品质量。自动化控制原理有应用于电子、电力、石油、化工、纺织、食品等轻重工业领域中,无论数据采集和测控技术,还是生产线上的机器人技术,都有单片机的参与。有时,在仪器仪表、信息和通信等产品方面,它在其中发挥着重大作用。现在,虽然单片机的应用很普遍了,但仍有许多项目尚未实现,所以单片机的应用有很大的发展空间。 本设计是设计一个单片机控制系统。在汽车进行左转弯、右转弯、刹车、合紧急开关等操作时,实现对各种信号指示灯的控制。本设计主要是对单片机的并行输入/输出口电路的应用,通过I/O口控制发光二极管的亮﹑灭﹑闪烁,加上一些复位电路﹑按键电路﹑驱动电路来模拟汽车尾灯的功能。 汽车在驾驶时有左转弯、右转弯、刹车、合紧急开关等操作。在左转弯或右转弯时,通过转弯操作杆应使左转开关或右转开关合上,从而使左头灯、左尾灯或右头灯、右尾灯闪烁;合紧急开关时要求前面所述的4个信号灯全部闪烁;汽车刹车时,两个尾灯点亮。

目录 1.绪论 (1) 1.1设计意义 (1) 1.2设计内容 (1) 1.3设计过程 (1) 2.设计的原理分析及实现 (2) 2.1系统简介 (2) 2.2硬件组成 (2) 2.3设计原理 (3) 3.应用软件简介 (4) 3.1单片机简介 (4) 3.2 AT89C51单片机简介 (4) 3.3 Proteus软件介绍 (8) 4.硬件设计 (10) 4.1 AT89C51芯片图 (10) 4.2汽车信号灯控制电路 (10) 4.2.1硬件接线图 (10) 4.2.2复位电路 (11) 4.2.3显示电路 (11) 4.2.4按键电路 (12) 4.2.5振荡电路 (13) 4.3 Proteus仿真结果 (14) 5.软件设计 (17) 5.1汽车信号灯控制程序 (17) 5.2汽车信号灯控制程序流程图 (19) 5.3利用伟福软件编译程序图 (20) 5.3.1伟福软件简介 (20) 5.3.2伟福软件编译程序图 (21) 6.心得体会 (22) 7.参考文献 (23)

数电课设汽车尾灯控制电路终审稿)

数电课设汽车尾灯控制 电路 文稿归稿存档编号:[KKUY-KKIO69-OTM243-OLUI129-G00I-FDQS58-

课程设计课程名称数字电子技术 课题名称汽车尾灯控制电路 专业自动化 班级1591班 学号 姓名黄建龙 指导老师程春红 2017年 03 月 16 日

电气信息学院 课程设计任务书 课题名汽车尾灯控制电路 姓黄建龙专自动化班1591班学21 指导老程春红 课程设计时 一、任务及要求 任务:假设汽车尾部左右量测各有3个指示灯(用发光二极管模拟)1.汽车正常运行时指示灯全灭;2.右转弯时,右侧3个指示灯按右循环顺序点亮;3.左转弯时,左侧3个指示灯按左循环顺序点亮;4.临时刹车时所有指示灯同时闪烁。要求:1.设计思路清晰,给出整体设计框图,画出整机原理图;2.给出具体设 计思路,设计各单元电路、电路器件;3.总电路设计;4.进行实验仿真调试,验 证设计结果;5.编写设计说明书;6.所有图纸和说明书用计算机打印。 二、进度安排 第一周: 周一:课题内容介绍和查找资料; 周二~周三:方案设计,电路仿真,周三下午检查设计方案及仿真结果; 周四~周日:周四上午领元器件;安装、调试电路; 第二周: 周一~周三:安装、调试电路; 周四:验收电路,收元器件,整理实验室,撰写设计报告,打印相关图纸; 周五:答辩,收设计报告。 三、参考资料 1.康华光主编. 电子技术基础(数字部分),高等教育出版社。 2.阎石主编. 电子技术基础(数字部分),清华大学出版社。 3.任为民主编. 电子技术基础课程设计,中央广播电视大学出版社。 4.彭介华主编. 电子技术课程设计指导,高等教育出版社。 5.谢自美主编.《电子线路设计、实验、测试》,华中理工出版社。 目录 一、课程设计的任务要求---------------------------------------3 二、设计方案-------------------------------------------------4

汽车尾灯控制器设计

齐鲁工业大学课程设计专用纸成绩 课程名称数字逻辑指导教师 院(系)信息学院专业班级 学生姓名仅作参考学号不谢设计日期 2014.7.2 课程设计题目汽车尾灯控制器设计 一、课程设计目的与任务 课程设计的目的:通过课程设计让学生进一步熟悉常用电子器件的类型和特性,并掌握合理选用的原则;提高学生的综合运用所学的理论知识,独立分析和解决问题的能力;让学生初步掌握对电子线路安装与调试等。 设计任务:设计一个汽车尾灯显示控制,实现对汽车尾灯状态的控制。 二、课程设计内容 1本设计题目的主要内容 本设计主要是用中、小规模集成电路设计一个汽车尾灯显示控制。在汽车尾部左右两侧各有3个指示灯(假定用发光二极管模拟),根据汽车运行的状况,指示灯需具有四种不同的状态:①汽车正向行驶时,左右两侧的指示灯处于熄灭状态。②汽车向右转弯行驶时,右侧的三个指示灯按循环顺序点亮③汽车向左转弯行驶时,左侧的三个指示灯按循环顺序点亮④汽车临时刹车时,左右两侧指示灯处于同时闪烁状态。 使用Multisim 2000进行仿真设计。 汽车尾灯显示控制的构成: (1)模式控制电路 (2)三进制计数器 (3)译码与显示驱动电路 (4)尾灯状态显示电路 2基本要求 (1)要求电路简单可靠,仿真结果基本正确。 (2)满足基本的设计要求,基本功能能够实现。 (3)提交课程设计报告。

3设计思想与总体构架 为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。 假定用开关K1和K0进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如表所示。 开关控制汽车运行状 态6个发光二极管 K1K0D1 D2 D3D4 D5 D6 11正常运行灯灭灯灭 10右转弯 按D1、D2、D3顺 序循环点亮 灯灭 01左转弯灯灭 按D4、D5、D6顺序 循环点亮 00临时刹车所有尾灯同时按cp闪烁 该电路主要有三方面的要求,一时脉冲,二是汽车的行驶状态要与汽车尾灯的显示要对应,三是汽车尾灯的循环变亮。脉冲使用555定时器构成的多谐振荡器,通过译码电路和开关控制电路实现汽车尾灯与汽车行驶状态的对应,使用3进制计数器实现汽车尾灯的循环。 总体框架:

课程设计:汽车尾灯控制电路word文档

西南科技大学电子技术课程设计 课程名称:电子技术课程设计 程序题目:汽车尾灯控制电路 姓名:何忠建左朝振 学号: 20045081 20045100 班级:自动 0405 班 指导教师:曹文 时间:2007.1.14 评分:

汽车尾灯控制电路 一.设计任务 设计一个汽车尾灯控制电路,汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按 右循环顺序点亮(R 1→R 1 R 2 →R 1 R 2 R 3 →全灭→R 1 )时间间隔0.5S(采用一个2HZ的 方波源);在左转弯时,左侧3个指示灯按左循环顺序点亮(L 1→L 1 L 2 →L 1 L 2 L 3 →全 灭→L 1);在临时刹车或者检测尾灯是否正常时,所有指示灯同时点亮(R 1 R 2 R 3 L 1 L 2 L 3 点亮);当汽车后退的时候所有尾灯循环点亮;当晚上行车的时候汽车尾灯的最下一个灯一直点亮。 二、设计条件 本设计基于学校电子技术实验后设计的,通过在电脑上利用各种软件设计而成,包括Quartus II 5.0,Multisim2001以及DXP2004等设计仿真软件。 三、设计要求 分析以上设计任务,由于汽车左转弯、右转弯、刹车、倒车、晚上行车时,所有灯点亮的次序和是否点亮是不同的,所以用74138译码器对输入的信号进行译码,从而得到一个低电平输出,再由这个低电平控制一个计数器74161,计数器输出为高电平时就点亮不同的尾灯(这里用发光二极管模拟),从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与给定条件间的关系,即逻辑功能表1所示。汽车尾灯控制电路设计总体框图如图1所示。 汽车尾灯和汽车运行状态表1-1

基于单片机的汽车信号灯控制系统

基于单片机的汽车信号 灯控制系统 文档编制序号:[KKIDT-LLE0828-LLETD298-POI08]

中南大学课程设计 (附代码) 20)设计一个基于单片机的汽车信号灯控制系统 设计要求:分析系统需求,设计出电路原理图,说明工作原理,编写程序及程序流程图。 设计一个基于单片机的汽车信号灯控制系统。汽车驾驶执行的操作由相应的开关状态反映,所需控制的信号灯有仪表盘左/右转弯灯、左右头灯和左右尾灯共六类灯,还有蜂鸣器喇叭控制的信号。 设计功能: 驾驶操作与灯光信号对应关系如下: (1) 左/右转弯(合上左/右开关):仪表盘左/右转弯灯、左/右头灯、左/右尾灯闪烁。 (2) 紧急开关合上:所有灯闪烁。 (3) 刹车(合上刹车开关):左右尾灯亮。 (4) 左/右转弯刹车:仪表盘左/右转弯灯、左/右头灯、左/右尾灯闪烁,右/左尾灯亮。 (5) 刹车、合上紧急开关:尾灯亮、仪表板灯、头灯闪烁。 (6) 左/右转弯刹车,并合上紧急开关:右/左尾灯亮,其余灯闪烁。 (7) 停靠(合上停靠开关):头灯、尾灯以1Hz的频率闪烁。 (8)倒车:尾灯长亮、蜂鸣器以的频率报警。 设计要求:设计出电路原理图,说明工作原理,编写程序及程序流程图。 仿真操作及现象: 1)合上左转弯开关:仪表板左转弯灯、左头灯、左尾灯闪烁。 2)合上右转弯开关:仪表板右转弯灯、右头灯、右尾灯闪烁。 3)合上紧急开关:所有灯闪烁 4)合上刹车开关:左右尾灯亮 5)合上左开关和刹车开关:仪表板左转弯灯、左头灯、左尾灯闪烁,右尾灯亮。 6)合上右开关和刹车开关:仪表板右转弯灯、右头灯、右尾灯闪烁,左尾灯亮。 7)合上刹车开关、紧急开关(紧急刹车):左右尾灯亮、左右仪表板灯、头灯闪烁。 8)合上左开关和刹车开关、紧急开关(紧急左转弯刹车):右尾灯亮,其余灯闪烁。 9)合上右开关和刹车开关、紧急开关(紧急右转弯刹车):左尾灯亮,其余灯闪烁。 10)合上停靠开关:左右头灯、尾灯以1Hz的频率闪烁

数字电路课程设计汽车尾灯控制电路设计

汽车尾灯控制电路的设计 目录 1.设计任务和设计要求 (1) 1.1设计任务 (1) 1.2设计要求 (1) 2. 设计原理与总体框图 (1) 3.单元电路设计 (2) 3.1三进制计数器 (2) 3.2汽车尾灯控电路 (3) 3.3开关控制电路 (4) 3.4时钟产生电路 (5) 4.汽车尾灯总体电路 (5) 5.试验方案及体会 (7) 6.器件清单 (7) 7.参考文献 (11)

1.设计任务和设计要求 1.1设计任务 设计一个汽车尾灯控制电路。汽车尾部左右两侧各有3个指示灯。当接通左转、右转、刹车和检查时,指示灯按照指定要求闪烁。 1.2设计要求 设汽车尾灯左右两侧各有3个指示灯(用发光管模拟)。要求是: (1)汽车正常行驶时,尾灯全部熄灭。 (2)当汽车左转弯时,右侧3个指示灯按左循环点亮。 (3)当汽车右转弯时,左侧3个指示灯按右循环点亮。 (4)临时刹车时,所有指示灯同时闪烁。 (5)选择电路方案,完成对确定方案的设计。计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。制作实际运行装置。 表1.1尾灯和汽车运行状态关系表 2. 设计原理与总体框图 根据设计的基本要求,汽车左或右转弯时 , 三个指示灯循环点亮 , 所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与各给定条件 (SI 、S0 、 CP 、 Q1 、 Q0 )的关系 , 即逻辑功能表如表2.1所示 ( 表中0表示灯灭状态 ,1表示灯亮状

态 ) 。 表2.1.汽车尾灯控制逻辑功能表 开关控制 三进制计数器六个指示灯 S1 S0 Q1 Q0 D6 D5 D4 D1 D2 D3 0 0 ××0 0 0 0 0 0 0 1 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 1 1 0 0 0 0 1 1 0 0 0 1 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 1 1 ××CP CP CP CP CP CP 根据表1.1可以得出原理框图,如图2.1所示。 图2.1 汽车尾灯控制电路原理图 3.单元电路设计 3.1三进制计数器 三进制计数器可由双JK触发器74LS76构成,其连接电路如图3.1所示。

汽车尾灯控制电路

电子技术课程设计任务书

电子技术课程设计任务书 2.对课程设计成果的要求〔包括图表、实物等硬件要求〕: 设计电路,安装调试或仿真,分析实验结果,并写出设计说明书,语言流畅简洁,文字不得少于3500字。要求图纸布局合理,符合工程要求,使用Protel软件绘出原理图(SCH)和印制电路板(PCB),器件选择要有计算依据。 3.主要参考文献: [1]谢自美。电子线路设计、实验、测试[M]华中理工大学,2001 [2] 彭介华. 电子技术课程设计指导[M]. 北京:高等教育出版社,1997 [3] 毕满清. 电子技术实验与课程设计[M]. 北京:机械工业出版社,1995 [4] 陈明义. 电工电子技术课程设计指导[M]. 长沙:中南大学出版社,2002 [5] 陈永甫. 新编555集成电路应用800例[M]. 北京:电子工业出版社2000 [6] . 4.课程设计工作进度计划: 序号起止日期工作内容 1 2011-1-3 布置任务,教师讲解设计方法及要求 2 2011-1-4 学生查找阅读资料,并确定方案 3 2011-1-5 学生设计小组会议,讨论方案 4 2011-1-6~11 设计、仿真实验 5 2010-1-12~13 写说明书,小组讨论 6 2010-1-14 答辩 指导教师苏泽光日期: 2010 年 12 月日

目录 引言 (1) 1 设计方案 (2) 汽车尾灯电路实际设计要求 (2) 设计原理及原理框图 (2) 2 单元电路设计 (2) 时钟脉冲电路 (2) 开关控制电路 (4) 三进制计数器 (5) 译码、显示驱动电路 (6) 3 性能测试与仿真 (7) 仿真软件的简单介绍 (7) Protel 99SE简单介绍 (7) IN Multisim10简单介绍 (8) 利用Multisim仿真与测试 (9) 原理图(SCH)和电路板(PCB) (14) 4结论 (15) 参考文献 (17) 摘要 汽车行驶时会有正常行驶、左转弯、右转弯和刹车四种情况,针对这四种情况

数电课程设计汽车尾灯控制电路

汽车尾灯控制电路的设计 一、设计基本要求: 假设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟) 1.汽车整车运行时指示灯全灭; 2.右转弯时,右侧3个指示灯按右循环顺序点亮; 3.左转弯时左侧3个指示灯按左循环顺序点亮; 4.临时刹车时所有指示灯同时闪烁 二、设计方案: 1.汽车尾灯显示状态与汽车运行状态的关系 为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。假定用开关J1和J2进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如下表所示。 2. 在汽车行驶过程中,汽车的尾灯会根据汽车行驶的状态相应的发生状态的变化。假设汽车尾灯左右两侧各有三个指示灯,设计一个用于控制汽车尾灯的电路。 方案原理框图如下图所示 开关控制电路显示、驱动电路 译码电路 计数器 {尾灯电路 汽车尾灯控制电路原理框图 本设计采用的方案主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。 三、电路设计步骤: 1.时钟脉冲电路

由于N555定时器内部的比较器灵敏度比较高,输出驱动电流比较大,功能灵活,而且采用差分电路形式,它的振荡率受电源电压和温度的影响小,由555定时器构成的多谐振荡器频率比较稳定,不易干扰;且此电路对秒脉冲的精度要求不是很高,所以选用有555构成的多谐振荡器做为脉冲电路。时钟脉冲电路如 下图1所示: 1.时钟脉冲电路 555定时器引脚图 2. 三进制计数器 汽车左或右转弯时由于是三个指示灯循环点亮,所以用三进制计数器控制译码电路顺序输出低电平,从而控制尾灯按要求电路,由此得出在每种运行状态下,各指示灯与各给定条件的关系,即逻辑功能表如下表所示:(0表示灯灭,1表示灯亮)

汽车尾灯控制电路设计说明书

中北大学 课程设计说明书 学生姓名:学号: 学院:信息与通信工程学院 专业:光电信息科学与工程 题目:汽车尾灯控制电路设计 指导教师:职称: 指导教师:职称: 201X年 X月X日

中北大学 课程设计任务书201X/201X 学年第一学期 学院:信息与通信工程学院专业:光电信息科学与工程学生姓名:学号: 课程设计题目:汽车尾灯控制电路设计起迄日期:X月X日~X月X日课程设计地点:中北大学 指导教师: 学科管理部主任: 下达任务书日期: 201X年X月X日

1.设计目的: 本课程设计主要针对模拟电子技术和数字电子技术课程要求,培养学生在查阅资料的基础上,进行实用电路设计、计算、仿真、调试等多个环节的综合能力,同时培养学生用课程中所学的理论独立地解决实际问题的能力。另外还培养学生用专业的、简洁的文字,清晰的图表来表达自己设计思想的能力。 2.设计内容和要求(包括原始数据、技术参数、条件、设计要求等): (假设汽车尾部左右各有3只指示灯,汽车正常运行时全部熄灭;右转时右侧3只灯依次按右循环点亮;左转时左侧3只灯依次按左循环点亮;刹车时所有灯同时闪烁。)(1)掌握车灯右循环电路的设计、仿真与调试; (2)掌握车灯左循环电路的设计、仿真与调试; (3)掌握延时电路的设计、仿真与调试,车灯循环点亮和闪烁时,点亮和熄灭时间都为2秒,精度大于10%; (4)掌握状态切换电路的设计、仿真与调试; (5)掌握方案设计与论证; (6)掌握用相关软件进行电路图设计、仿真,以及对仿真结果的分析、总结。 3.设计工作任务及工作量的要求〔包括课程设计计算说明书(论文)、图纸、实物样品等〕: (1)提供核心器件的工作原理与应用介绍; (2)提供用Protel99/DXP设计的电路原理图,印刷板电路图选做; (3)提供用Multisim、MaxPlus、Proteus等其他软件对电路的仿真结果与分析; (4)提供符合规定要求的课程设计说明书,图、表清晰; (5)提供参考文献不少于三篇,且必须是相关的参考文献。

智能交通信号灯控制系统设计

编号: 毕业论文(设计) 题目智能交通信号灯控制系统设计 指导教师xxx 学生姓名杨红宇 学号201321501077 专业交通运输 教学单位德州学院汽车工程系(盖章) 二O一五年五月十日

德州学院毕业论文(设计)中期检查表

目 录 1 绪论............................................................................................................................ 1 1.1交通信号灯简介...................................................................................................... 1 1.1.1 交通信号灯概述.................................................................................................. 1 1.1. 2 交通信号灯的发展现状...................................................................................... 1 1.2 本课题研究的背景、目的和意义 ......................................................................... 1 1. 3 国内外的研究现状 ................................................................................................. 1 2 智能交通信号灯系统总设计.................................................................................... 2 2.1 单片机智能交通信号灯通行方案设计 ................................................................. 2 2.2 功能要求 ............................................................................... 错误!未定义书签。 3 系统硬件组成............................................................................................................ 4 4 系统软件程序设计.................................................................................................... 5 5 结论和展望................................................................................................................ 6 参考文献...................................................................................... 错误!未定义书签。 杨红宇 要: 但是传统的交通信号灯不已经不能满足于现代日益增长的交通压力,这些缺点体现在:红绿 以及车流量检测装置来实现交通信号灯的自控制,随着车流量来改变红绿灯1 绪论 1.1 1.1.1 为现代生活中必不可少的一部分。

汽车尾灯课程设计报告

课程设计报告 课程名称:电子技术课程设计 设计题目:汽车尾灯控制器 专业:电气工程及其自动化 班级: 2009 学号 学生XX:李博 时间:2012 年 2月 27 日~3月 2 日 ―――――――以下指导教师填写―――――分项成绩:出勤成品答辩及考核 总成绩:总分成绩 指导教师:

课程设计报告要求和成绩评定 1报告基本内容 前言,目录,任务书,正文,参考文献。 2 书写用纸 A4复印纸。 3 书写要求 主要部分手工双面或单面书写(计算机绘图等指定内容可打印),字迹清楚,每页20行左右,每行30字左右,排列整齐;页码居中写在页面下方;纸面上下左右4侧边距均为2厘米。 前言和目录合写作为第一页;参考文献接正文书写,不另起页。 公式单占一行居中书写;插图要有图号和图题,图号和图题书写在插图下方;表格要有表号和表题,表号和表题在表格上方书写;物理量单位和符号、参考文献引用和书写以及图纸绘制要符合有关标准规定;有关细节可参考我院《毕业设计成品规X》。 4 装订 装订顺序:封面,前言和目录,任务书,正文及参考文献,图纸,封底;左边为装订边,三钉装订,中间钉反向装订。 5 成绩评定 课程设计成绩由出勤(10分)、报告书写规X性及成品[注]质量(30分)、答辩及考核(60分)三部分成绩合成后折合为优秀(90-100分)、良好(80-89分)、中(70-79分)、及格(60-69分)或不及格(60分以下)。 注:成品含义由课程设计任务书规定,除课程设计报告外,还可以包括图纸、计算机程序、制作品、实验或测试方案等。

前言 在当今社会中,数字时代已经成为一种现实,并且时刻影响着人们的日常生活,作为数字化的基础——数字电子电路,无疑是至关重要的。数字电路课程设计便是本课程的一种很好的实践,更是加深电子技术理论理解的重要途径,同时有助于培养我们严谨,探索的科学精神。 “汽车尾灯控制电路”作为电子技术基础课程的一个实践,利用基本的芯片:双向移位寄存器74LS194,二输入与非门74LS00、四输入与非门74LS20、六反相器74LS04、3-8译码器,555定时器及电阻电容进行搭建。综合数字电路和模拟电路的知识,提升了我们理实际解决问题的能力,有助于增强我们将理论转为实际的意识,是一种很好的锻炼和学习方式。 在实际的设计过程中得到了尚志刚,苏士美等老师的鼎力相助,谢谢他们的无私的指导,“汽车尾灯控制电路”才得以顺利完成。再次祝他们工作顺利,万事如意。 由于时间紧迫和水平有限,本课程设计报告还存在瑕疵,恳请老师提出指正意见。 作者:李博 2012年3月2日

课程设计——汽车尾灯控制器的设计1

成绩:分 ××××系 课程设计报告书 课程设计名称电子产品综合设计 题目汽车尾灯控制器的设计 学生姓名 专业 班级 指导教师 日期:2010年7月5日

摘要:本设计根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块、左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。 关键字:时钟信号,EDA工具,状态机 Abstract: This design is according to the computer state machine theory, using VHDL taillight design the various parts of the controller and use the EDA tools for simulation of each module.Car taillight controller design is divided into four modules: the clock frequency module, the taillight major control module, left lamp control module and right lamp control module.Each module after the formation of a car taillight integrated controller.Through the input system clock signal and the signal related to vehicle control, vehicle tail lights will correctly display the current state of vehicle control. Key words: The clock signal, EDA tools, the computer state machine theory

相关主题
文本预览
相关文档 最新文档