当前位置:文档之家› 基于硬件电路的电子琴设计

基于硬件电路的电子琴设计

基于硬件电路的电子琴设计
基于硬件电路的电子琴设计

电子科学与技术专业《集成电路课程设计》

简易电子琴设计

一、 实验目的

使用VerilogHDL 语言进行前端设计,并使用Quaruts 软件在

GW48-PK2实验上实现仿真,实现硬件电子琴。电子琴要求有8个音阶,使用外部时钟信号3MHz ,能同步显示音阶。

二、设计要求

1、 设计一个简易电子琴。要求能演奏的音域为D 调的do 到E 调do 。

2、 用GW48-PK2中的8个按键作为琴键。

3、 GW48-PK2中有蜂鸣器。

4、 可以使用GW48-PK2上的12MHz 作为输入时钟信号

三、设计思路

通过可编程逻辑器件(PLD )和VHDL 硬件描述语言来实现电子

琴的基本要求。 设计的主体是数控分频器,对输入的频率按照与每个音阶对应的分频系数进行分频,得到各个音阶对应的频率分别在蜂鸣器和数码管上以声音和频率数值的形式作为输出。

四、设计组成与原理

下图为系统的工作原理框图。

分频置

数 按 键 分

器 12MHZ 蜂 鸣 器 数码管显示

五、模块设计

1.音名与频率的关系

音乐的12平均率规定:每2个八度音(如简谱中的中音1与

高音1)之间的频率相差1倍。在2个八度音之间,又可分为

12个半音。灵位,音符A(简谱中的低音6)的频率为440HZ,音符B到C之间、E到F之间为半音,其余为全音。由此可以

计算出简谱中所有的音符的频率,在这我们只需计算出中音1

到高音1的频率即可。如下所示:

表一简谱音名与频率的对应关系

音名中音

1中音

中音

中音

中音

中音

中音

高音

频率

/HZ 523.3 587.3 659.3 698.5 784 880 987.8 1046.5 由于各音符对应的频率多为非整数,而分频系数又不能为小数,故必

须将计算得到的分频数四舍五入取整。若分频器时钟频率过低,则由

于分频系数过小,四舍五入取整后的误差较大;若时钟频率过高,虽

然误差变小,但分频数将变大。实际的设计应综合考虑两方面的因素,在尽量减少频率误差的前提下取合适的时钟频率。本实验要求用12MHZ的时钟脉冲信号,所以不必考虑这方面的因素。实际上,只要

各个音符间的相对频率关系不变,弹奏出来的音调听起来是不会走调的。

2.分频系数与初始值(预置数)

本例设计的音乐电子琴选取12MHZ的系统时钟频率。在数控分频器模块中,由于数控分频器输出的波形是脉宽极窄的脉冲波,为了更好地驱动扬声器发生,减少输出的偶次谐波分量,在到达扬声器之前需要均衡占空比,从而生成各音符对应频率的对称方波输出。这个过程实际上进行了一次二分频,频率变为原来的二分之一,即6MHZ。

因此,分频系数的计算可以按照下面的方法进行。以中音1为例,对应的频率值为523.3HZ,它的分频系数应该为:

Divider=6MHz/523.3=6*106 /523.3=11466

至于其他音符,同样由上式求出对应的分频系数,这样利用程序可以轻松地得到相应的乐声。各音名对应的分频系数如下表:

表二各音名对应的分频系数

音名中音

1 中音

2

中音

3

中音

4

中音

5

中音

6

中音

7

高音1

分频系数

(divider)

11466 10216 9101 8590 7653 6818 6074 5733

由于最大的分频系数为11466,故采用14位二进制计数器已能满足分频要求。将分频系数设为0,其初始值为16383(214 -1 )即可,此时扬声器不会发声。对于不同的分频系数,加载不同的初始值即可。本实验参考王金明:《Verilog HDL程序设计教程》,采用差值法进行分频。把差值作为初始值,为不同音阶的发声的来源。各音名对应的初始值如下表:

表三各音名对应的初始值

音名中音

1

中音

2

中音

3

中音

4

中音

5

中音

6

中音7 高音1

初始值

(origin)

4917 6167 7282 7793 8730 9565 10309 10650

3.数控分频器

数控分频器是对基准频率进行分频,得到与各个音阶对应的频

率输出。数控分频模块是由一个初始值可变的14位加法计数

器构成,该计数器的模为214=16384,当计数器记满时,产生

一个进位信号,该信号就是用作发音的频率信号。其分为预分

频和脉冲展宽两部分。

a)预分频

assign preclk=(divider==16383)?1:0;

always@(posedge clk)

begin

if(preclk)

divider=origin;

else

divider=divider+1;

end

b) 脉冲展宽(二分频)

always@(posedge preclk)

begin

speaker=~speaker;

目的是将预分频产生的占空比很小的波形进行拓宽,使得波形有足够长的高电平来驱动扬声器。

六、实验仿真

(一)测试使用的仪器与软件

仪器

康芯GW48系列EDA设备-----GW48-PK2

实验电路图和适用范围:本实验适用模式3,其结构图如下

CLOCK9CLOCK5CLOCK2CLOCK0实验电路结构图NO.3译码器译码器译码器译码器译码器译码器译码器译码器D9

D16D15D14D13D12D11D10D8

D7D6D5D4D3D2D1PIO8PIO9PIO10PIO11PIO12PIO13PIO14PIO15S P E A K E R 扬声器

1

2345678目标芯片FPGA/CPLD PIO0PIO1

PIO2

PIO3PIO4PIO5PIO6PIO7

键1键2键3键4键5键6键7键8PIO15-PIO8

PIO47-PIO44

PIO43-PIO40

PIO39-PIO36

PIO35-PIO32

PIO31-PIO28

PIO27-PIO24

PIO23-PIO20

PIO19-PIO16

1.Altera 公司的Cyclone 系列的EP2C5T144C8芯片

2.康芯教学实验箱

软件

Quartus II

Quartus II 是Altera 公司的综合性PLD 开发软件,支持原理图、

VHDL 、VerilogHDL 以及AHDL (Altera Hardware Description

Language )等多种设计输入形式,内嵌自有的综合器以及仿真器,可

以完成从设计输入到硬件配置的完整PLD 设计流程

以下为使用Quartus II 软件得到的RTL 级视图

(二) 测试方法

注:选择模式3,此模式下按键为琴键式,适合本实验演奏。

1.打开QuartusⅡ软件,file-NewProjectWizard-选择程序e_piano

2.

2.Assignment-Device-设置芯片为CycloneⅡ系列的EP2C5T144C8

3.定义管脚如下

clk PIN_128 index[2] PIN_3

code[0] PIN_39 index[3] PIN_4

code[1] PIN_40 index[4] PIN_5

code[2] PIN_41 index[5] PIN_6

code[3] PIN_42 index[6] PIN_7

d PIN_47 index[7] PIN_10

index[0] PIN_1 spk PIN_129

index[1] PIN_2

下图为管脚定义界面

4.定义完成后再次编译,再执行Programmer,选中e_piano.sof,开始下载。

下图为编译下载界面

5.下载完成后控制按键,观察音调准确,声音清晰,数码管能够正常显示音调。

七、实验总结

根据老师的要求,我们先一起通过所学知识讨论了设计原理,不懂的问了下其他同学,最后定制出了大致计划。在具体设计过程中,我们根据步骤逐次检验,查阅了有关资料,主要包括王金明:《Verilog HDL程序设计教程》以及潘松,黄继业,潘明的《EDA技术实用教程》在初次编写分频模块时候,使用的是比较基本的计数器分频法,编写程序后在modelsim软件中进行了仿真,发现无法观察到分频情况。经过分析,原因是由于分频比过大,因此在波形图中很难观察到。而且由于预分频的占空比很小,更难以观察到高电平。在原因找到后,为了考察程序的性能,我们将输入频率减小。同时适当缩小分频比,这样就得到了模块仿真中的分频波形。

通过此次实验,我们不仅学会了Quartus II软件的使用方法,还对集成电路设计有了更深的认识,对专业知识得到了加强。同时认识到了自己的不足,比如有些知识已经模糊,所以有待于复习,所谓“温故而知新”,我们要学习的东西还很多。这次的学习将对自己以后的研究生学习和将来的工作有很大的帮助,也是一次实践的锻炼!

参考文献

1.王金明:《Verilog HDL程序设计教程》

2.潘松,黄继业,潘明《EDA技术实用教程》

3.其他网上资料

附录:程序源代码

//信号定义与说明:

//clk:用于产生各种音阶频率的基准频率;

//key:电子琴音符输入端口;

//speaker:用于激励扬声器的输出信号,本例中为方波信号;

//med,high:分别用于显示中音,高音音符,各驱动一个数码显示管和LED来显示;

//origin:为数控分频模块提供音符频率的初始值;module dianziqin(clk,key,speaker,med,high);

input clk; //12MHZ input [7:0] key;

output speaker;

output high; //高音显示 output [3:0] med; //中音显示 reg [13:0] divider; //分频系数和初始值 reg [13:0] origin;

reg speaker;

reg high;

reg [3:0] med;

wire preclk;

assign preclk=(divider==16383)?1:0; //14位,对时钟频率进行预分频

always@(posedge clk)

begin

if(preclk)

divider=origin;

else

divider=divider+1;

end

always@(posedge preclk)

begin

speaker=~speaker;

//进行2分频,拓宽波形,产生方波信号

end

parameter do=8'b00000001,

re=8'b00000010,

mi=8'b00000100,

fa=8'b00001000,

so=8'b00010000,

la=8'b00100000,

xi=8'b01000000,

do_=8'b10000000;

//状态编码,采用独热码编码方式

always@(key) //分频比预置

case(key)

do: begin

origin=4917;

med=1;

high=0;

end

re: begin

origin=6167;

med=2;

high=0;

end

mi: begin

origin=7282;

med=3;

high=0;

end

fa: begin

origin=7793;

med=4;

high=0;

end

so: begin

origin=8730;

med=5;

high=0;

end

la: begin

origin=9565;

med=6;

high=0;

end

xi: begin

origin=10309;

med=7;

high=0;

end

do_: begin

origin=10650;

med=1;

high=1;

end

default:begin

origin=16383; high=0;

end

endcase

endmodule

简易电子琴电路的制作

简易电子琴电路的制作 正文: 一、课程设计的目的 1.了解UA741芯片和DG4102芯片的逻辑功能。 2.学会使用示波器。 3.能够组装复杂的线路并调试。 4.能够熟练地焊接各个元器件到焊接实验板上。 5.了解音调的初步知识。 二、课程设计所用仪器 1.图1运算放大器UA741。 图1 DG4102型单片式集成功放电路结构外形图和管脚1和5为偏置(调零端),2为反向输入端,3为正向输入端,4接负电(-Vcc),6为输出,7接正电源(+Vcc), 8空脚 2.集成功放DG4102。 本实验采用DG4102型单片式集成功率放大电路,此集成电路是带散热片的14脚双列直插式塑料封装结构,其结构外形图和管脚如图2所示: 图2 DG4102型单片式集成功放电路结构外形图和管脚1——输出端, 6——反相输入端, 9——输入端,4、5——补偿电容, 10、

12——旁路电容, 13——自举电容,2、7、8、11——空脚, 3——接地, 14——电源电压(+VCC )。 3. 示波器、数字万用表、扬声器一只、焊接实验板、函数信号发生器、晶体三 极管(9013)、电阻器若干、电容器若干、按键式开关8只、电烙铁、焊锡丝、若干导线 三、课程设计的原理 (一)、简易电子琴电路设计原理 1、简易电子琴电路是将振荡电路与功率放大电路结合的产物。RC 振荡电路(如图3所示)是由RC 选频网络和同相比例运算电路组成,对不同频率的输入信号产生不同的响应。当RC f f π210==时 O U 和i U 同相,并且31==o i U U F 。而同相比例运算电路的电压放大倍数为11R R U U A F i O U +== , 可见,12R R F =时3=U A , 1=F A U 。O U 和i U 同相,也就是电路具有正反馈。起振时F A U >1, U A >3.随着振荡幅度的增大, U A 能自动减小,直到满足3=U A 或1=F A U 时,振幅达到稳定,以后可以自动稳幅。 R R F 图3 RC 振荡电路 2、功率放大电路的任务是将输入的电压信号进行功率放大,保证输出尽可能大的不失真功率,从而控制某种执行机构,如使扬声器发出声音、电机转动或仪表指示等等。

单片机电子秤设计报告完整版样本

单片机电子秤设计报告 秤是一种在实际工作和生活中经常见到的测量器具。随着计量技术和电子技术的发展, 传统纯机械结构的杆秤、台秤、磅秤等称量装置逐步被淘汰, 电子称量装置电子秤、电子天平等以其准确、快速、方便、显示直观等诸多优点而受到人们的青睐。 和传统秤相比较, 电子秤利用新型传感器、高精度AD转换器件、单片机设计实现, 具有精度高、功能强等特点。本课题设计的电子秤具有基本称重、键盘输入、计算价格、显示、超重报警功能。该电子秤的测量范围为0-10Kg, 测量精度达到5g, 有高精度, 低成本, 易携带的特点。电子秤采用液晶显示汉字和测量记过, 比传统秤具有更高的准确性和直观性。另外, 该电子秤电路简单, 使用寿命长, 应用范围广, 能够应用于商场、超市、家庭等场所, 成为人们日常生活中不可少的必须品。 一、功能描述 1、采用高精度电阻应变式压力传感器, 测量量程0-10kg, 测量精度可达5g。 2、采用电子秤专用模拟/数字( A/D) 转换器芯片hx711对传感器信号进行调理转换, HX711 采用了海芯科技集成电路专利技术, 是一款专为高精度电子秤而设计的24 位A/D 转换器芯片。 3、采用STC89C52单片机作为主控芯片, 实现称重、计算

价格等主控功能。 4、采用128*64汉字液晶屏显示称重重量、单价、总价等信息。 5、采用4*4矩阵键盘进行人机交互, 键盘容量大, 操作便捷。 6、具有超量程报警功能, 能够经过蜂鸣器和LED灯报警。 7、系统经过USB电源供电, 单片机程序也可经过USB线串行下载。 二、硬件设计 1、硬件方案 单片机电子秤硬件方案如图1所示: 图1 单片机电子秤硬件方案

简易电子琴完整版

设计简易电子琴 学号:031041108 学生姓名:冯桥专业(班级):电子(11) 摘要:简易电子琴电路是以 NE555 时基电路为核心组成的多谐振荡器电路,由振荡器电路产生频率信号,再通过由 LM386 小功率集成功放为核心组成的功放电路,最后由扬声器输出信号,发出 8个不同频率的音符。 通过改变一组开关的通断可以发出不同的音符和音调,分别按下音符按键能发出 8 个不同频率的音符。 关键词:NE555 LM386 音调集成功放驱动 1 任务提出与方案论证 1.1 设计要求 1、要求有7个音阶,可以用数字芯片构成,也可由单片机构成。 2、用Multisim仿真。 3、搭建实体电路 要求掌握:数字电路的设计方法 1.2 方案论证 方案一: 基于RC振荡电路构成文氏电桥振荡电路,通过改变电阻或电容的值,可以得到不通的振荡频率,从而可以构建八音阶的电子琴系统。(注:通过此方法完成后只能发出一种声响,而且不能停止,是电路设计与链接问题。) 方案二: 555定时器可以构成单稳态触发器,而单稳态触发器仅有一个稳态,故可以通过改变其暂态在一个周期内的时间长度以得到不同的频率,来构建电子琴系统。 本设计选用第二种方法实现。

2 总体设计 2.1 系统总体组成··

·· 本系统主要由多谐振荡发生电路,扬声器及外部电路组成。通过按键开关接通电路产生振荡方波信号,通过改变电位器电阻的大小来调节振荡频率的大小;接着驱动扬声器发出声音。多谐振荡发生电路按住一个开关电路接通电路外部电容、电阻与555芯片构成多谐振荡电路进行循环的充放电,则输出脉冲矩形波信号。 2.2 总电路图

简易电子琴课程设计

课程设计任务书学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 简易电子琴电路的设计仿真与实现 初始条件: 可选元件:集成运算放大器LM324、电阻、电位器、电容若干,直流电源,或自备元器件。 可用仪器:示波器,万用表,直流稳压源,函数发生器 要求完成的主要任务: (1)设计任务 根据要求,完成对简易电子琴电路的仿真设计、装配与调试,鼓励自制稳压电源。 (2)设计要求 ①设计一简易电子琴电路,按下不同琴键即改变RC值,能发出C调的八个基本音阶,采用运算放大 ②选择电路方案,完成对确定方案电路的设计。 ③利用Proteus或Multisim仿真设计电路原理图,确定电路元件参数、掌握电路工作原理并仿真实现系 统功能。 ④安装调试并按规范要求格式完成课程设计报告书。 ⑤选做:利用仿真软件的PCB设计功能进行PCB设计。 时间安排: 1、前半周,完成仿真设计调试;并制作实物。 2、后半周,硬件调试,撰写、提交课程设计报告,进行验收和答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.模电课设概述 (1) 1.1设计背景 (1) 1.2设计目的及意义 (1) 1.3开发环境proteus简介 (1) 2.电路原理 (3) 2.1 RC桥式振荡电路及频率选择 (3) 2.2振荡条件 (4) 3.总体方案设计 (5) 3.1实验电路设计思路 (5) 3.2设计电路图 (6) 3.3实验参数选择 (6) 4.仿真曲线及结果分析 (7) 4.1仿真操作过程及曲线 (7) 4.2仿真结果分析 (14) 5.实物制作及仿真、实物的差异 (15) 5.1实物制作过程和调试过程 (15) 5.2 仿真、实物的差异 (16) 6.心得体会 (17) 7.元件清单 (18) 8.参考文献 (19)

简易电子琴电路的设计 (2)

1. 技术指标 (1) 2. 设计方案及其比较 (1) 2.1 方案一 (1) 2.2 方案二 (3) 2.3 方案比较 (6) 3. 实现方案 (6) 4. 调试过程及结论 (10) 5. 心得体会 (16) 6. 参考文献 (16)

简易电子琴电路的设计 1.技术指标 设计一个玩具电子琴,设8个琴键,分别代表1、2、3、4、5、6、7、?八个不同音符,每按下一个琴键,扬声器发出一个音符的声音。演奏时的音量和节拍可以调节。 2.设计方案及其比较 2.1 方案一 选用RC振荡电路和运算放大器构成简易电子琴电路。RC振荡电路的具体电路为文氏桥正弦振荡电路。电路原理图如下图1。 图1 简易电子琴电路原理图

R串联,两者共同构成RC串并联选频网络。由于选其中1C和按键电阻并联,2C和12 频网络的相移为零,这样RC串并联选频网络送到运算放大器同相输入端的信号电压与输 出电压同相,所以RC反馈网络形成正反馈,满足相位平衡条件,因而可以形成振荡。 由于振荡的能量是电源,激励信号源是电路中的噪声,它的频谱丰富,包含频率成分 f;但由于噪声信号极其微弱,在振荡期间应使信号做增幅振荡,为此合理选择电阻使0 ω信号就会通过正反馈而使得输出信号不断增大,使输出幅环路增益大于1,这样频率为0 度越来越大,最后受电路中非线性元件的限制,使振荡幅度自动稳定下来,电路进入等幅振荡。频率0f之外的信号由于不满足振荡平衡条件,将不会在输出信号中出现,RC选频网络实现了信号频率的选择功能。 按键电阻的选择:查阅资料得知八个音阶的频率如下表1: 表1 八个音阶的频率 音符 1 2 3 4 5 6 7 8 f264 297 330 352 396 440 495 528 由于1C的值确定为0.1uF,由公式: fπ2/1 RC =(1) f 0= 并结合表一计算可得电阻阻值分别为(单位:欧姆): 36 R3. k 1=(2) 28 k R7. 2=(3) 23 R3. k 3=(4) 20 k R4. 4=(5) 16 k R2. 5=(6) k 13 R1. 6=(7) R3. 10 k 7=(8) R1.9 k 8=(9)通过阻值选择电阻器件。 电路要求不仅能够振荡,而且能够稳幅。当振荡输出信号小于放大器的最大输出电压时,输出为正弦波。如前所述,环路增益大于1,这样信号幅度在正反馈的作用下不断增

电子琴实验报告乐曲硬件演奏电路设计本科论文

武夷学院实验报告 课程名称:逻辑设计与FPGA 项目名称: 乐曲硬件演奏电路设计 ______________ 姓名: 专业:微电子学 班级:14微电子 学号:04实验日期 、 实验预习部分: 1. 实验目的: 学习利用数控分频器设计硬件乐曲演奏电路。 2. 实验原理: 综合利用数控分频器、LPM ROMPLL 等单元电路设计硬件乐曲演奏电路。系统框图如图 1 所示由三个模块组成,分别为 NOTETABSTONETABASPEAKERA NOTETAB 模块(把教材图9-4中的CNT138T 和MUSIC 模块合在一起即为此模块)类似于弹 琴人的手指;TONETAB 模块类似于琴键;SPEAKER 类似于琴弦或音调发生器。 音符的频率由SPEAKER 模块(与教材图9-4中的SPKEF 模块对应)获得,这是一个数控分 频器。由其CLK 端输入一具有较高频率(12MHZ 的信号,通过SPEAKER 分频后由SPKOU 输出。 SPEAKER 对CLK 输入信号的分频比由11位预置数TONE[10..0]决定。SPKOU 的输出频率将决定 每一音符的音调,这样,分频计数器的预置值 TONE[10..0]与SPKOU 的输出频率就有了对应关 系。例如在TONETAB 模块(与教材图9-4中的F_COD 模块对应)中若取 TONE[10..0] = 1036, 图1硬件乐曲演奏电路结构框图 i.;E-Z

将发出音符“ 3”音的信号频率。

实验预习成绩(百分制)____________________ 实验指导教师签字:_________________________

基于51单片机简易电子琴的课程设计

基于51单片机简易电子琴 1 课题背景 单片微型计算机室大规模集成电路技术发展的产物,属于第四代电子计算机它具有高性能、高速度、体积小、价格低廉、稳定可靠、应用广泛的特点。他的应用必定导致传统的控制技术从根本上发生变革。因此,单片机的开发应用已成为高科技和工程领域的一项重大课题。 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音乐扮演重要的角色,单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。本文的主要内容是用AT89S52单片机为核心控制元件,设计一个电子琴。以单片机作为主控核心,与键盘扬声器等模块组成核心主控制模块,在主控模块上设有8个按键,和一个复位按键。 主要对使用单片机设计简易电子琴进行了分析,并介绍了基于单片机电子琴硬件的组成。利用单片机产生不同频率来获得我们要求的音阶,最终可随意弹奏要表达的音符。并且分别从原理图,主要芯片,个模块原理及各莫奎的程序的调试来详细阐述。 一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,构成我们想演奏的那首曲目。当然对于单片机来产生不同的频率非常方便,我们可以利用单片机的定时/计数器T0来产生这样的方波频率信号,因此,我们只要把一首歌曲的音阶对应频率关系编写正确就可以达到我们想要的曲目。 2 任务要求与总体设计方案 2.1 设计任务与要求 利用所给键盘的1,2,3,4,5,6,7,8八个键,能够发出7个不同的音调,而且有一个按键可以自动播放歌曲,要求按键按下时发声,松开延时一小段时间,中间再按别的键则发另外一音调的声音,当系统扫描到键盘按下,则快速检测出是哪一个按键被按下,然后单片机的定时器启动,发出一定频率的脉冲,该频率的脉冲经喇叭驱动电路放大滤波后,就会发出相应的音调。如果在前一个按下的键发声的同时有另一个按键被按下,则启动中断系统。前面的发音停止,转到后按的键的发音程序。发出后按的键的音调。 2.2 设计方案 2.2.1 播放模块 播放模块是由喇叭构成,它几乎不存在噪声,音响效果较好,而且由于所需驱动功率较小,且价格低廉,所以,被广泛应用。 2.2.2 按键控制模块

(完整版)基于51单片机简易电子琴的设计

电子琴的设计 1 课题背景 单片微型计算机室大规模集成电路技术发展的产物,属于第四代电子计算机它具有高性能、高速度、体积小、价格低廉、稳定可靠、应用广泛的特点。他的应用必定导致传统的控制技术从根本上发生变革。因此,单片机的开发应用已成为高科技和工程领域的一项重大课题。 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音乐扮演重要的角色,单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。本文的主要内容是用AT89S52单片机为核心控制元件,设计一个电子琴。以单片机作为主控核心,与键盘扬声器等模块组成核心主控制模块,在主控模块上设有8个按键,和一个复位按键。 主要对使用单片机设计简易电子琴进行了分析,并介绍了基于单片机电子琴硬件的组成。利用单片机产生不同频率来获得我们要求的音阶,最终可随意弹奏要表达的音符。并且分别从原理图,主要芯片,个模块原理及各莫奎的程序的调试来详细阐述。 一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,构成我们想演奏的那首曲目。当然对于单片机来产生不同的频率非常方便,我们可以利用单片机的定时/计数器T0来产生这样的方波频率信号,因此,我们只要把一首歌曲的音阶对应频率关系编写正确就可以达到我们想要的曲目。一. 任务要求与总体设计方案 1.1 设计任务与要求 利用所给键盘的1,2,3,4,5,6,7,8八个键,能够发出7个不同的音调,而且有一个按键可以自动播放歌曲,要求按键按下时发声,松开延时一小段时间,中间再按别的键则发另外一音调的声音,当系统扫描到键盘按下,则快速检测出是哪一个按键被按下,然后单片机的定时器启动,发出一定频率的脉冲,该频率的脉冲经喇叭驱动电路放大滤波后,就会发出相应的音调。如果在前一个按下的键发声的同时有另一个按键被按下,则启动中断系统。前面的发音停止,转到后按的键的发音程序。发出后按的键的音调。 1.2 设计方案 1.2.1 播放模块 播放模块是由喇叭构成,它几乎不存在噪声,音响效果较好,而且由于所需驱动功率

EDA乐曲硬件演奏电路设计 课程设计

摘要 乐曲演奏广泛用于自动答录装置、手机铃声、集团电话、及智能仪器仪表设备。实现方法有许多种,随着FPGA集成度的提高,价格下降,EDA设计工具更新换代,功能日益普及与流行,使这种方案的应用越来越多。如今的数字逻辑设计者面临日益缩短的上市时间的压力,不得不进行上万门的设计,同时设计者不允许以牺牲硅的效率达到保持结构的独特性。使用现今的EDA软件工具来应付这些问题,并不是一件简单的事情。FPGA预装了很多已构造好的参数化库单元LPM 器件。通过引入支持LPM的EDA软件工具,设计者可以设计出结构独立而且硅片的使用效率非常高的产品。 本课设在EDA开发平台上利用VHDL语言设计数控分频器电路,利用数控分频的原理设计乐曲硬件演奏电路,并定制LPM-ROM存储音乐数据,以“两只老虎”乐曲为例,将音乐数据存储到LPM-ROM,就达到了以纯硬件的手段来实现乐曲的演奏效果。只要修改LPM-ROM所存储的音乐数据,将其换成其他乐曲的音乐数据,再重新定制LPM-ROM,连接到程序中就可以实现其它乐曲的演奏。 关键词:FPGA;EDA;VHDL;音乐

目录 设计要求 (1) 1、方案论证与对比 (1) 1.1方案一 (1) 1.2方案二 (1) 1.3综合对比 (1) 2 乐曲演奏电路原理 (2) 2.1 音乐演奏电路原理 (2) 2.2 音符频率的获得 (2) 2.3 乐曲节奏的控制 (3) 2.4 乐谱发生器 (3) 2.5 乐曲演奏电路原理框图 (3) 3音乐硬件演奏电路的设计实现 (4) 3.1 地址发生器模块 (4) 3.1.1 地址发生器的VHDL设计 (4) 3.2 分频预置数模块 (6) 3.2.1 分频预置数模块的VHDL设计 (6) 3.3 数控分频模块 (8) 3.3.1 数控分频模块的VHDL设计 (8) 3.4 music模块 (10) 3.4.1 音符数据文件 (10) 3.5.2 LPM-ROM定制 (12) 3.6 顶层文件 (14) 4 时序仿真及下载调试过程 (16) 4.1 时序仿真图 (16) 4.2 引脚锁定以及下载 (17) 4.3调试过程及结果 (17) 5扩大乐曲硬件演奏电路的通用性 (18) 5.1 完善分频预置数模块的功能 (18) 设计总结与心得体会 (21) 参考文献 (22)

简易电子琴课程设计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 简易电子琴电路的设计仿真与实现 初始条件: 可选元件:集成运算放大器LM324、电阻、电位器、电容若干,直流电源,或自备元器件。 可用仪器:示波器,万用表,直流稳压源,函数发生器 要求完成的主要任务: (1)设计任务 根据要求,完成对简易电子琴电路的仿真设计、装配与调试,鼓励自制稳压电源。 (2)设计要求 ①设计一简易电子琴电路,按下不同琴键即改变RC值,能发出C调的八个基本音阶,采 用运算放大器构成振荡电路,用集成功放电路输出。已知八个基本音阶在C调时所对 ②选择电路方案,完成对确定方案电路的设计。 ③利用Proteus或Multisim仿真设计电路原理图,确定电路元件参数、掌握电路工作原 理并仿真实现系统功能。 ④安装调试并按规范要求格式完成课程设计报告书。 ⑤选做:利用仿真软件的PCB设计功能进行PCB设计。 时间安排: 1、前半周,完成仿真设计调试;并制作实物。 2、后半周,硬件调试,撰写、提交课程设计报告,进行验收和答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.模电课设概述 (1) 1.1设计背景 (1) 1.2设计目的及意义 (1) 1.3开发环境proteus简介 (1) 2.电路原理 (3) 2.1 RC桥式振荡电路及频率选择 (3) 2.2振荡条件 (4) 3.总体方案设计 (5) 3.1实验电路设计思路 (5) 3.2设计电路图 (6) 3.3实验参数选择 (6) 4.仿真曲线及结果分析 (7) 4.1仿真操作过程及曲线 (7) 4.2仿真结果分析 (14) 5.实物制作及仿真、实物的差异 (15) 5.1实物制作过程和调试过程 (15) 5.2 仿真、实物的差异 (16) 6.心得体会 (17) 7.元件清单 (18) 8.参考文献 (19)

电子秤电路设计与制作

电子秤电路设计与制作 实 验 报 告 姓名: 学号: 指导老师: 通信与信息工程学院 电子秤电路设计指导书 一、实验目的: 本实验要求学生设计并制作一个电子秤电路,要求能测量重量在0~200g间的物体,输出为电压信号,通过调节电路使电压值为对应的重量值,电压量纲mv改为重量纲g即成为一台原始电子秤。 二、基本原理: 基本思路 总体设计思路如图1所示,所测重量经过转换元件转换为电阻变化,再经过测量电路转化为电压变化,经过放大电路放大调节后输出显示得到所需信号。 图1 基本设计思路 电阻应变式传感器 本设计主要通过电阻应变式传感器实现。电阻应变式传感器是利用电阻应变片将应变转换为电阻的变化,实现电测非电量的传感器。传感器由在不同的弹性敏感元件上粘贴电阻应变片构成,当被测物理量作用在弹性敏感元件上时,弹性敏感元件产生变形,并使附着其上的电阻应变片一起变形,电阻应变片再将变形转换为电阻值的变化。应变式

电阻传感器是目前在测量力、力矩、压力、加速度、重量等参数中应用最广泛的传感器之一。 1、弹性敏感元件 物体在外力作用下而改变原来尺寸或形状的现象称为变形,而当外力去掉后物体又能完全恢复其原来的尺寸和形状,这种变形称为弹性变形。具有弹性变形特性的物体称为弹性元件。 弹性敏感元件是指元件在感受到力、压力、力矩、振动等被测参量时,能将其转换成应变量或位移量,弹性敏感元件可以把被测参数由一种物理状态转换为另一种所需要的物理状态。 2、电阻应变片 对于一段长为L,截面积为S,电阻率为ρ的导体,未受力时电阻为 R = ρ,在 外力的作用下,电阻丝将会被拉伸或压缩,导体的长度L、截面积S以及电阻率ρ等均将发生变化,从而导致其电阻值发生变化,这种现象称为“电阻应变效应”。 利用金属或半导体材料电阻丝的应变电阻效应,可以制成测量试件表面应变的敏感元件。为在较小的尺寸范围内感受应变,并产生较大的电阻变化,通常把应变丝制成栅状的应变敏感元件,即电阻应变片,通常由敏感栅、基底、盖片、引线和黏结剂等组成。 测量电路 电阻应变片把机械应变信号转换成电阻变化后,由于应变量及其应变电阻变化一般都很微小,既难以直接精确测量,又不便直接处理。因此,必须采用转换电路,把应变计的电阻变化转换成电压或电流变化,以便于测量。具有这种转换功能的电路称为测量电路。 电桥电路是目前广泛采用的测量电路,常见的直流电桥电路如图2, 图2 直流电桥 电桥输出电压为 Uo=U (式1) R1、R2、R3、R4为四个桥臂,当一个臂、两个臂或四个臂接入应变片时,就相应构成了单臂、双臂和全臂工作电桥。下面分别就单臂、半桥和全桥电路进行讨论。 (1)单臂工作电桥 图3 单臂工作电桥 如图3所示,R1为电阻应变片,R2、R3、R4为固定电阻。应变片未受力时电桥处于平衡状态,R1R3=R2R4,输出电压U0=0,当承受应变时,R1阻值发生变化,设为R1+ΔR,电桥不平衡,产生输出电压为 Uo= (R1+RR)R3?R2R4 (R1+RR+R2)(R3+R4) (式2) 设R1=R2=R3=R4=R,又ΔR<

简易电子琴设计说明

模拟电子技术课程设计报告 题目名称:简易电子琴 姓名:黄鹏程 学号:150712165 班级: 15电本六班 指导教师:王爱乐 成绩: 工程技术学院 信息工程与自动化系

摘要 随着社会的发展进步,音乐已成为我们生活中很重要的一部分,电子琴则是一种很常见的键盘乐器,是现代电子科技和音乐结合的产物。在各个领域扮演很重要的角色,早已融入现代人们的日常生活中,成为不可替代的一部分。 简易电子琴主要是由8个按键控制,根据固定电阻的不同,从而产生不同的振荡频率,并且将信号放大后由扬声器输出声音。 为了能得到频率不同的波,波形产生部分首先使用了NE555芯片,从而得到振荡的正弦波;将信号传给LM386进行功率放大,使扬声器发出相应的音阶。分块调试测试电子琴,先是震荡电路的线路测试,再是功率放大电路的测试。 经过调试之后,焊接而成的作品能产生8个音调的不同振荡频率的音阶。 关键词:NE555 LM386 频率电子琴

目录 第一章设计任务.............................................. - 4 -1.1设计要求............................................................. - 4 - 1.2设计目的............................................................. - 4 - 1.3总体思想构图......................................................... - 5 -第二章系统组成及工作原理..................................... - 7 - 2.1 NE555简介........................................................... - 7 - 2.2逻辑符号............................................................. - 8 - 2.3 NE555部原理图....................................................... - 9 - 2.4逻辑功能............................................................ - 10 - 555定时器逻辑功能...................................................... - 10 - 2.5 LM386芯片介绍..................................................... - 12 - 2.5.1 外形、管脚排列及电路............................................. - 12 - 2.5.2 LM386主要性能指标................................................ - 12 - 2.6 简易电子琴系统组成.................................................. - 13 - 2.6.1 按键模块.......................................................... - 13 - 2.6.2音调发生模块...................................................... - 13 - 2.6.3音响模块.......................................................... - 13 - 2.7 简易电子琴的工作原理................................................ - 13 -第三章模块定路设计与参数计算................................ - 14 - 3.1波形发生部分........................................................ - 14 - 3.2功率放大部分........................................................ - 15 -第四章系统调试.............................................. - 17 - 4.1 调试步骤........................................................... - 17 - 4.2 调试过程........................................................... - 17 - 4.3 调试结论........................................................... - 17 -参考文献..................................................... - 18 - 附录.............................................. 错误!未定义书签。附录一:元器件清单............................................ 错误!未定义书签。附录二电路仿真.............................................. 错误!未定义书签。附录三制作作品原图......................................... 错误!未定义书签。

简易电子琴电路课程设计(模拟电路)

逗你玩 课程设计报告 课程名称:模拟电子技术课程设计 专业班级:电子信息工程(2)班 学生学号: 0705110931 学生姓名:夏柳 所属院部:信息技术学院 指导教师:王雪 20 08 ——20 09 学年第 2 学期

《模拟电子技术》课程设计报告 --------简易电子琴的制作 简易电子琴电路 摘要: 本课程设计以制作一个简易电子琴为最终结果,主要以硬件测试为主。首先进行电路分析,设计电路图,其次考虑所有可能出现的问题,完善电路图,再选择合适的器件,最后按照电路图线路搭试,调试测试,直至达到理想的目标。当然在这之前对焊点等要事先查阅资料,了解手工焊接技术;查阅有关4100芯片,741芯片的功能等参数,还有测试其芯片是否好坏的电路和方法;同时还要了解RC振荡电路,与其产生振荡的条件跟原理,选择稳幅电路,理解其稳幅的原理;当然还要计算八个音阶的产生的频率,再根据RC振荡电路计算电阻值,以便选择合适的电阻,这些都是课前准备。测试电子琴我们要一步一步的,首先是振荡电路的线路测试,其次选频电路的测试,功放电路的测试,最后再是总体测试,尽量消除噪音,使音质能够很清晰。这样电子琴我们就做好了。 关键图:

电子琴的主干图

第一部分:课前准备 1.1芯片性能指标 1.2手工焊接技术 1.3元件制作工艺 第二部分:设计方案及选定 2.1八个音阶的频率 2.2振荡电路的选择与设计 2.3八个电阻的选择 2.4稳幅方式的选择 2.5功率放大电路的设计 第三部分:简易电子琴电路的检测与误差分析 3.1芯片测试 3.2振荡电路测试 3.3电子琴的测试 第四部分:元器件清单 第五部分:心得体会 第六部分:参考文献

电子秤电路设计

福建电力职业技术学院 课程设计课程名称:传感器与检测技术课设 题目:电子秤电路设计 专业班次: 姓名:杰克 学号: 指导教师: 学期:2011-2011学年第一学期日期:2012.2.13-2012.2.20

摘要 该设计以51系列单片机AT89S52为控制核心,实现了电子秤的基控制功能。随着电子技术和自动化测量技术的不断发展,传统的称重系统在功能、精度、性价比等方面已难以满足人们的需要,尤其在智能化、便携式、对微小质量的测量方面更显得力不从心。近年来,新型单片机的出现和集成电路技术的发展为更新产品设计,研制高性价比的称重控制器提供了条件。本设计采用AVR单片机为控制核心,结合电阻应变式压力传感器和相应的信号采集电路,A/D转换部分组成,人机交互界面为键盘输入和点阵式液晶显示设计出一种高精度、多功能、低成本的新型电子秤。 该电子秤可以实现基本的称重功能(称重范围为0~10千克,质量误差不大于10克),还具有超量程和报警功能。整个系统结构简单,适用方便,功能齐全,精度高,具有一定的开发价值。 关键词:单片机;集成电路;采样电路;A/D转换器;液晶显示

目录 摘要 ......................................................................... I 第一章绪论 .. (3) 1.1 选题背景与意义 (3) 1.2 称重传感器的基本知识 (3) 1,3 研究的现状 (3) 第二章系统方案的设计 (6) 2.1 系统总体设计 (6) 2.2 系统工作原理及设计基本思路 (6) 2.3 数据采样部分的方案确定 (7) 第三章系统硬件设计 (10) 3.1 AT89S52单片机 (10) 3.2 称重传感器 (10) 3.3 A/D转换器 (11) 3.4 人机界面 (12) 第四章总结 (13) 参考文献 (14)

基于FPGA的简易电子琴实现

基于FPGA的简易电子琴实现 李全 摘要 本系统是采用EDA技术设计的一个简易的八音符电子琴,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。多功能电子琴的设计是在原有普通电子琴的基础上进行扩充的一个设计。该电子琴的设计大体可以由三个模块构成,分别是电子琴音调发生器模块、数控分频模块和自动演奏模块。用超高速硬件描述语言VHDL编程可以实现各个模块的功能。能够实现弹琴和自动演奏的功能。系统实现是用硬件描述语言VHDL按照模块化方式进行设计,然后进行编程、时序仿真、总体整合。本系统的功能比较齐全,有一定的现实使用的价值。本文中介绍了电子琴系统的整体的设计,并基于超高速硬件描述语言VHDL在相关的芯片上编程实现的。 关键字 电子琴;EDA;现场可编程逻辑器件FPGA;超高速硬件描述语言VHDL;音调发生;数控分频; 1引言

我们生活在一个信息高速发达的时代,各种各样电子产品层出不穷。对于广大老百姓来说,电子琴可以说已经不再是什么“新鲜玩意”了,它现在作为一种休闲和娱乐的产品早就推出市面,面向百姓,进入了我们的生活。作为一个电子信息科学与技术专业的学生,了解这些电子产品的基本的组成和设计原理是十分必要的,我们学习过了计算机组成的理论知识,而我所做的课程设计正是对我学习的理论进行实践和巩固。本设计主要介绍的是一个用超高速硬件描述语言VHDL设计的一个具有若干功能的简易电子琴;集科学性,先进性,创新性,实用性于一体,其理论基础源自于计算机组成原理的时钟分频器。 1.1 设计的目的 本次设计的目的就是在掌握计算机组成原理理论相关的基础上,了解EDA技术,掌握VHDL硬件描述语言的设计方法和思想,通过学习的VHDL 语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识,例如本课程设计就是基于所学的计算机原理中的时钟分频器和定时器的基础之上的,通过本课程设计,达到巩固和综合运用计算机原理中的知识,理论联系实际,巩固所学理论知识,并且提高自己通过所学理论分析、解决计算机相关的实际问题的能力。 1.2设计的基本内容

用 制作简易电子琴

555简易电子琴电路制作 一设计要求与任务 1.学习调试电子电路的方法,提高实际动手能力。 2.了解由555定时器构成简易电子琴的电路及原理。 二总体框图 、【模块功能】 该电路包括按钮开关,定值电阻,555振荡器和扬声器三部分组成, 1输入端:由八个按钮开关与各自的定值电阻串联在并联组成输入端2频率产生端:根据定值电阻的不同输入,由555产生不同的信号频率 3扬声器端口: 接受信号频率发出特定的频率

【设计方案】 555定时器 本实验采用两个555集成定时器组成简易电子琴。整个电路由主振荡器,颤音振荡器,扬声器和琴键按钮等部分组成。 主振荡器由555定时器,七个琴键按钮S1~S7,外接电容C1、C2,外接电阻R8以及R1~R7等元件组成,颤音振荡器由555定时器,电容C5及R9、R10 等元件组成,颤音振荡器振荡频率较低为64Hz,若将其输出电压U连接到主振荡器555定时器复位端4,则主振荡器输出端出现颤音。 按图接线后闭合不同开关即可令喇叭发出不同频率的声响,从而模拟出电子琴的工作。 三选择器件 【实验器材】 555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积很小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成史密特触发器、单稳态触发器及自激多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。 多谐振荡器的工作原理 多谐振荡器是能产生矩形波的一种自激振荡器电路,由于矩形波中除基波外还含有丰富的高次谐波,故称为多谐振荡器。多谐振荡器没有稳态,只有两个暂稳态,在自身因素的作用下,电路就在两个暂稳态之间来回转换,故又称它为无稳态电路。

单片机电子琴设计报告硬件设计部分

课程设计报告 课程名称:微机原理课程设计 题目:简易电子琴设计 学院:系: 专业: 班级: 学号: 学生姓名: 起讫日期: 指导教师:

摘要 随着社会的发展进步,音乐逐渐成为我们生活中很重要的一部分,有人曾说喜欢音乐的人不会向恶。我们都会抽空欣赏世界名曲,作为对精神的洗礼。本论文设计一个基于单片机的简易电子琴。电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音乐扮演着重要的角色,单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。本系统是以51系列单片机AT89C51为主控制器,附有独立键盘、点阵、扬声器组成。系统完成显示输入信息、播放相应音符等基本功能。本系统运行稳定,其优点是硬件电路简单,软件功能完善,控制系统可靠,性价比较高等,具有一定的实用和参考价值。 关键词:AT89C51,点阵,独立键盘,蜂鸣器。

目录 第1章概述----------------------------------------------------------------------------------3 第2章课程设计任务及要求-----------------------------------------------------------3 2.1 设计任务-------------------------------------------------------------------------------3 2.2 设计要求-------------------------------------------------------------------------------3 第3章硬件设计-----------------------------------------------------------------------------3 3.1设计方案-------------------------------------------------------------------------------4 3.2 硬件电路设计及描述-----------------------------------------------------------------4 3.2.1 电路连线及概述---------------------------------------------------------------------5 3.2.2 系统设计电路图--------- --------------------------------------------------------8 第4章原件清单-----------------------------------------------------------------------------9 第5章心得体会-----------------------------------------------------------------------------10第6章参考文献-----------------------------------------------------------------------------11

abs电子控制单元硬件电路设计

一.引言 现在越来越多的人开始注意与人身安全密切相关的设备,如ABS、安全气囊等。汽车制动防抱死系统,简称为ABS,是提高汽车被动安全性的一个重要装置。有人说制动防抱死系统是汽车安全措施中继安全带之后的最大进展,是提高汽车制动安全性的又一重大进步。 ABS有的四大优点: 1.加强对车辆的控制。装备有ABS的汽车,驾驶员在紧急制动过程中仍能保 持着很大程度的操控性,可以及时调整方向,对前面的障碍或险情做出及时、必要的躲避。而未配备ABS的车辆紧急制动时容易产生侧滑、甩尾等意外情况,使驾驶员失去对车辆的控制,增加危险性。 2.减少浮滑现象。没有配备ABS的车辆在潮湿、光滑的道路上紧急制动,车 轮抱死后会出现车辆在路面上保持惯性继续向前滑动的情况。而ABS由于减少了车轮抱死的机会,因此也减少了制动过程中出现浮滑的机会。 3.有效缩短制动距离。在紧急制动状态下,ABS能使车轮处于既滚动又拖动 的状况,拖动的比例占20%左右,这时轮胎与地面的摩擦力最大,即所谓的最佳制动点或区域。普通的制动系统无法做到这一点。 4.减轻了轮胎的磨损。使用ABS消除了在紧急制动过程中抱死的车轮使轮胎 遭受不能修复的损伤,即在轮胎表面形成平斑的可能性。大家留心就会发现,在道路上留下长长刹车痕迹的是未装备ABS的车辆,而装备了ABS 的车辆,只会留下轻微的刹车痕迹,并且是一小段一小段的,明显减少了轮胎和地面的磨损程度。 二.A BS的基本工作原理 ABS通常都由控制装置和ABS警示灯等组成。在不同的ABS系统中,制动压力调节装置的结构形式和工作原理往往不同,电子控制装置的内部结构和控制逻辑以及车轮转速传感器、制动压力调节装置都不尽相同。 在常见的ABS系统中,每个车轮上各安装一个转速传感器,将有关各车轮转

简易电子琴

电子工程学院课外学分设计报告 题目:简易电子琴设计 姓名:学号:35 专业:电子信息工程实验室:开放实验室班级:1211 设计时间:年月日——年月日 评定成绩:审阅教师:

目录 1. 设计任务、目的 (1) 2. 方案设计与论证(或基本原理与论证) (1) 3. 硬软件设计 (1) 4. 实现与测试(或调试) (5) 5.分析与总结 (6)

1. 设计任务、目的 1. 硬件电路设计(制作实物,行列键盘输入,至少21键,扬声器输出) 2. 驱动程序设计:扬声器驱动函数,键盘扫描函数 3. 结合驱动程序设计程序实现如下功能: - 按键发声 - 音乐播放(3首以上 2. 方案设计与论证(或基本原理与论证) 音乐是有由不同的音阶组成的,而不同的音阶又是由不同的频率发出的,那么产生不同的频率,就可以发出不同的音乐了。而利用单片机就可以产生不同的频率的方波,因此选择单片机为为主来设计。通过程序编写实现单片机输出不同的频率,输出的方波信号再通过功放输出声音。同时电子琴加入led用来显示。 本设计的主要工作是程序编写和焊电路板,通过程序让电子琴实现音乐演奏,歌曲播放以及记录已按下的音符,并播放,最后实现led显示。而硬件主要有单片机最小系统,键盘模块,发声模块,还有一个电源模块。 图2.1总体方案图 3. 硬软件设计 3.1硬件电路设计

图3.1硬件电路图 本系统有主控单片机、按键、led显示模块、扬声器模块以及电源组成。 1.单片机最小系统 单片机最小系统由STC89C51芯片、晶振、电容组成。 2.按键设计 按键采用4*6扫描;4根行线接P10-P13,六根列线接P14-P17以及P20,P21口共24个按键,0-20代表音符键,0-6代表低音1,2,3,4,5,6,7;7-13代表中音1,2,3,4,5,6,7; 14-20代表高音1,2,3,4,5,6,7;21号按键表示播放歌曲键,当按下21号键,进入播放歌曲函数,当按下22号键时,播放下一首歌曲,当按下23键时,退出播放返回主程序。而在主程序中时,代表演奏状态,当按下23号键时,进入录音状态,此时有个绿色的指示灯会亮。而进入录音后,再按一次23键,指示灯灭,退出录音状态,返回主程序。 3.Led灯设计 7个绿色的LED代表按键的音符DO,RE,MI...分别接到P0口的各个I端口音符DO 时,一个LED亮,音符MI时,2个LED亮…以此类推。有一个绿色LED指示当前状态,当电子琴处于录音时,LED亮,否则,灭。 4.扬声器模块 扬声器模块由扬声器、三极管和电阻组成。经过三极管的放大作用驱动扬声器发声。 3.2软件设计

相关主题
文本预览
相关文档 最新文档