当前位置:文档之家› 数字电子技术实验报告3

数字电子技术实验报告3

数字电子技术实验报告3
数字电子技术实验报告3

实验成绩实验日期指导教师批阅日期

实验名称译码器与数据选择器

1、实验目的

1.掌握译码器和数据选择器的功能。

2.能够用译码器实现任意逻辑函数。

3.能够用数据选择器实现任意逻辑函数。

2.实验原理

1.译码器是一-个多输入、多输出的组合逻辑电路,其功能是将输入的一组二进制代码翻译成与其对应的特定含义(如十进制数、地址线、指令等)。译码器一般分为两类:一类是不完全译码器,如七段字形译码器,另一类是最小项译码器,如双2线/4线译码器(74LS139) ,3线/8线译码器 (74LS138),4线/16线译码器(74LS154) 等。最小项译码器都有一个使能端(片选端) G,利用它可以扩展译码器的功能。

2个2线/4线译码器----- 1个3线/8线译码器

2个3线/8线译码器- -- --- -1 个4线/16线译码器

在同一时刻,最小项译码器只有一个输出端上有信号。而且,最小项译码器通常将其输出设计

=m’,式中: m为成低电平有效的形式。Vn个变量的译码器其输出与输入的关系可表示为:Y

i

由n个变量构成的最小项。

译码器的每一个输出端都对应于输入变量的--个最小项,整个译码器给出了全部最小项,相当于一-个最小项发生器,而.任一逻辑函数都可以用若干最小项之和的形式表示。因此,译码器辅以适当的逻辑门,即可实现任何逻辑函数,而不必进行逻辑函数化简。

2.数据选择器又称为多路开关,是一种重要的组合逻辑部件,它可以实现从多路数据传输中选择任何一路信号输出,选择的控制由专列的端口编码决定,称为地址码,数据选择器可以完成很多的逻辑功能,例如函数发生器、桶形移位器、并串转换器、波形产生器等。

数据选择器与数据分配器相类似,也有n个地址输入端,但地址代码用来确定的是2n个数据输入端。输入端与地址端之间满足的条件也是N=2n,其中N表示数据输入端的个数,n表示地址输入端的个数。数据选择器的输出端只有一个。数据选择器的名称是由输入通道的个数来确定的,例如有8个输入通道的数据分配器被称为8选一数据选择器。

任意逻辑函数都能写成最小项之和的形式,所以数据选择器可用来标识任意逻辑函数。

用数据选择器实现单输出函数的设计步骤如下;

(1)选择接到数据选择端的函数变量;

(2)写出数据选择器输出的逻辑便表达式;

(3)将要实现的逻辑函数转换为标准与或表达式;

(4)对照数据选择器输出表达式和待实现函数的表达式确定数据输入端的值;

(5)连接电路。

3、实验步骤

验证74LS138、74LS151的逻辑功能。

1、用74LS138实现- -位全加器,用一片3线-8线译码器(74LS138)和与非门(74LS20)实现。

2、用74LS151实现三变量多数表决电路。

3、用74LS138实现三变量多数表决电路。用一片3线-8线译码器(74LS138)和与非门(74LS20)实现。

4、实验仪器设备

1.电子技术学习机-一台。

2.器件74LS20、74LS138、74LS151各一片。

3.仿真实验:电脑

5、数据记录与处理(包括定性、定量误差分析) 1.74LS138实现一位全加器

2.74LS151实现三变量多数表决器

3. 74LS138实现三变量多数表决器

6、结论(实验结果的分析和论证)

1.译码是编码的逆过程,译码器是将输入代码的原意翻译出来,译码器可分为最小项译码器,七段字形译码器等。

2.数据选择器,也被称为多路选择器或多路开关。有多路输入一路输出,再输入地址的作用下,选择多路输,入信号中的某一个收入信道,将该输入信道的数据传送到唯一的数据输出端。

3.译码器可以通过集联扩展将3-8线译码器扩展为4-16译码器等。译码器在级联扩展时

4.译码器的每一个输出端都对应于收入变量的一个最小项,整个译码器给出了全部最小项,相当于一个最小项发生器,任意逻辑函数都可以用若干最小项之和的形式表示,所以译码器和适当的逻辑门就可以实现任何逻辑函数。

5.数据选择器,有每一个输出端都对应于一个最小项,任意逻辑函数都可以用若干最小项之和的形式表式,所以数据选择器和适当的逻辑门器件就可以实现任何逻辑函数。

7、思考题

1. 74LS139 ,74LS20实现全加器

2. 如何将3线/8线译码器扩展成4线/16线译码器,画出逻辑图。

低三位输入代码共用,最高为输入代码由控制端所决定

数字电子技术实验教案

湖南工学院教案用纸 实验1基本门电路逻辑功能测试(验证性实验) 一、实验目的 1?熟悉基本门电路图形符号与功能; 2?掌握门电路的使用与功能测试方法; 3?熟悉实验室数字电路实验设备的结构、功能与使用。 二、实验设备与器材 双列直插集成电路插座,逻辑电平开关,LED发光显示器,74LS00, 74LS20 , 74LS86,导 线 三、实验电路与说明 门电路是最简单、最基本的数字集成电路,也是构成任何复杂组合电路和时序电路的基本单 元。常见基本集门电路包括与门、或门、与非门、非门、异或门、同或门等,它们相应的图形符号与逻辑功能参见教材P.176, Fig.6.1。根据器件工艺,基本门电路有TTL门电路和CMOS门电路之分。TTL门电路工作速度快,不易损坏,CMOS门电路输出幅度大,集成 度高,抗干扰能力强。 1.74LS00 —四2输入与非门功能与引脚: 2. 74LS20 —双4输入与非门功能与引脚: 3. 74LS86 —四2输入异或门功能与引脚: 四、实验内容与步骤 1.74LS00功能测试: ①74LS00插入IC插座;②输入接逻辑电平开关;③输出接LED显示器;④接电源;⑤拔

动开关进行测试,结果记入自拟表格。 湖南工学院教案用纸

2. 74LS20功能测试: 实验过程与74LS00功能测试类似。 3. 74LS86功能测试: 实验过程与74LS00功能测试类似。 4. 用74LS00构成半加器并测试其功能: ①根据半加器功能:S A B , C AB,用74LS00设计一个半加器电路; ②根据所设计电路进行实验接线; ③电路输入接逻辑电平开关,输出接LED显示器; ④通电源测试半加器功能,结果记入自拟表格。 5. 用74LS86和74LS00构成半加器并测试其功能: 实验过程与以上半加器功能测试类似。 五、实验报告要求 1. 内容必须包括实验名称、目的要求、实验电路及设计步骤、实验结果记录与分析、实验总结与体会等。2?在报告中回答以下思考题: ①如何判断逻辑门电路功能是否正常? ②如何处理与非门的多余输入端? 实验2组合逻辑电路的设计与调试(设计性综合实验) 一、实验目的 1?熟悉编码器、译码器、数据选择器等MSI的功能与使用; 2?进一步掌握组合电路的设计与测试方法; 3?学会用MSI实现简单逻辑函数。 二、实验设备与器材

数字电子技术实验心得

数字电子技术实验心得 这学期学了数字电子技术实验,让我了解到了更多知识,加深了对数字电子技术的理解。这是一门理论与实践密切相关的学科,能让我们自己去验证一下书上的理论,自己去设计,这有利于培养我们的实际设计能力和动手能力。 通过数字电子技术实验, 我们不仅仅是做了几个实验,不仅要学会实验技术,更应当掌握实验方法,即用实验检验理论的方法,寻求物理量之间相互关系的方法,寻求最佳方案的方法等等,掌握这些方法比做了几个实验更为重要。 在做实验前,一定要将课本上的知识吃透,因为这是做实验的基础,否则,在老师讲解时就会听不懂,这将使你在做实验时的难度加大,浪费做实验的宝贵时间.做实验时,一定要亲力亲为,务必要将每个步骤,每个细节弄清楚,弄明白,实验后,还要复习,思考,这样,你的印象才深刻,记得才牢固,否则,过后不久你就会忘得一干二净,这还不如不做.做实验时,老师还会根据自己的亲身体会,将一些课本上没有的知识教给我们,拓宽我们的眼界,使我们认识到这门课程在生活中的应用是那么的广泛. 我也学习到一些经验: 1、如果发现了实验中问题所在,此时,我们应该静下心来,冷静地分析问题的所在,有可能存在哪一环节,比如实验原理不正确,或是实验电路需要修正等等,只有这样我们的能力才能有所提高。不要盲目的把导线全部拆掉,然后又重新连接一遍,这样不但浪费时间,而且也无法达到锻炼我们动手动脑能力的目的。 2、在实验过程中,我们也要学会分工协作,不能一味的我行我素或是自己一点也不参与其中。 3、在实验过程中,要互相学习,学习优秀同学的方法和长处,同时也要学会虚心向指导老师请教,当然这要建立在自己独立思考过的基础上。 在实验的过程中我们要培养自己的独立分析问题,和解决问题的能力。培养这种能力的前题是你对每次实验的态度。数字电子技术实验,有利于掌握知识体系与学习方法,有利于激发我们学习的主动性,增强自信心,有利于培养我们的创新钻研的能力,有利于书本知识技能的巩固和迁移。我们认为,在这学期的实

电子科技大学选修单片机实验报告

电子科技大学学院实验报告 实验名称现代电子技术综合实验 姓名: 学号: 评分: 教师签字 电子科技大学教务处制

电子科技大学 实验报告 学生姓名:学号:指导教师:熊万安 实验地点:211大楼308 实验时间: 一、实验室名称:单片机技术综合实验室 二、实验项目名称:数码管显示A/D转换电压值及秒表 三、实验学时:12 四、实验目的与任务: 1、熟悉系统设计与实现原理 2、掌握KEIL C51的基本使用方法 3、熟悉实验板的应用 4、连接电路,编程调试,实现各部分的功能 5、完成系统软件的编写与调试 五、实验器材 1、PC机一台 2、实验板一块 六、实验原理、步骤及内容 试验要求: ①、数码管可在第2位到第4位显示A/D转换的电压值, 可调电压,数码管第5位显示“-”号,第6、7位显示2位学 号;

②、再按按键key1进行切换,此时数码管第6、7位显示从 学号到(学号值+5秒)的循环计时秒表,时间间隔为1秒。 按按键key2时,秒表停止计数,再按按键key2时,秒表继续 计数。按按键key1可切换回任务1的显示。 ③、当电压值大于2伏时,按按键不起作用。 1、硬件设计 2、各部分硬件原理 (相关各部分例如:数码管动态扫描原理;TLC549ADC特征及应用等) (1)数码管动态扫描原理 多位联体的动态数码管段选信号abcdefg和dp(相当于数据线是公用的,而位选信号com是分开的。扫描方法并不难,先把第1个数码管的显示数据送到abcdefg和dp,同时选通com1,而其它数码管的com信号禁止;延时一段时间(通常不超过10ms),再把第二个

数码管的显示数据送到abcdefg和dp,同时选通com2,而其他数码管的com信号禁止;延时一段时间,再显示下一个。注意,扫描整个数码管的频率应当保证在50Hz 以上,否则会看到明显的闪烁。 (2)TLC549ADC特征及应用等 当/CS变为低电平后,TLC549芯片被选中,同时前次转换结果的最高有效位MSB (A7)自DAT端输出,接着要求自CLK端输入8个外部时钟信号,前7个CLK信号的作用,是配合TLC549 输出前次转换结果的A6-A0 位,并为本次转换做准备:在第4个CLK 信号由高至低的跳变之后,片内采样/保持电路对输入模拟量采样开始,第8个CLK 信号的下降沿使片内采样/保持电路进入保持状态并启动A/D开始转换。转换时间为36 个系统时钟周期,最大为17us。直到A/D转换完成前的这段时间内,TLC549 的控制逻辑要求:或者/CS保持高电平,或者CLK 时钟端保持36个系统时钟周期的低电平。由此可见,在自TLC549的CLK 端输入8个外部时钟信号期间需要完成以下工作:读入前次A/D转换结果;对本次转换的输入模

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

电子技术基础实验报告

电子技术实验报告学号: 2220 姓名:刘娟 专业:教育技术学 实验三单级交流放大器(二) 一、实验目的 1. 深入理解放大器的工作原理。 2. 学习测量输入电阻、输出电阻及最大不失真输出电压幅值的方法。 3. 观察电路参数对失真的影响. 4. 学习毫伏表、示波器及信号发生器的使用方法。 二. 实验设备: — 1、实验台 2、示波器 3、数字万用表 三、预习要求 1、熟悉单管放大电路。 2、了解饱和失真、截止失真和固有失真的形成及波形。 3、掌握消除失真方法。 四、实验内容及步骤 实验前校准示波器,检查信号源。 按图3-1接线。 图3-1 1、测量电压参数,计算输入电阻和输出电阻。 调整RP2,使V C=Ec/2(取6~7伏),测试V B、V E、V b1的值,填入表3-1中。 ~ 表3-1 … 输入端接入f=1KHz、V i=20mV 的正弦信号。 分别测出电阻R1两端对地信 号电压V i 及V i ′按下式计算 出输入电阻R i : 测出负载电阻R L开路时的输出电压V∞,和接入R L(2K)时的输出电压V0 , 然后按下 式计算出输出电阻R ; 将测量数据及实验结果填入表3-2中。 V i (mV)Vi′(mV)R i ()V ∞ (V)V (V)R () 调整 R P2测量 V C (V)Ve(V)Vb(V)Vb1(V)

[ 输入信号不变,用示波器观察正常工作时输出电压V o 的波形并描画下来。 逐渐减小R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失真的波形描画下来,并说明是哪种失真。( 如果R P2=0Ω后,仍不出现失真,可以加大输入信号V i ,或将R b1由100K Ω改为10K Ω,直到出现明显失真波形。) 逐渐增大R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失真波形描画下来,并说明是哪种失真。如果R P2=1M 后,仍不出现失真,可以加大输入信号V i ,直到出现明显失真波形。 表 3-3 调节R P2使 输出电压波形不失 真且幅值 为最大(这 时的电压 放大倍数 最大), 测量此时 的静态工作点V c 、V B 、V b1和V O 。 表 3-4 ` 五、实验报告 1、分析输 入电阻 和输出电阻的测试方法。 按照电路图连接好电路后,调节RP2,使Vc 的值在6-7V 之间,此时使用万用表。接入输入信号1khz 20mv 后,用示波器测试Vi 与Vi ’,记录数据。用公式计算出输入电阻的值。在接入负载RL 和不接入负载时分别用示波器测试Vo 的值,记录数据,用公式计算出输出电阻的值。 2、讨论静态工作点对放大器输出波形的影响。 静态工作点过低,波形会出现截止失真,即负半轴出现失真;静态工作点过高,波形会出现饱和失真,即正半轴出现失真。 实验四 负反馈放大电路 一、 实验目的 1、熟悉负反馈放大电路性能指标的测试方法。 2、通过实验加深理解负反馈对放大电路性能的影响。 二、实验设备 、 阻值 波 形 何种失真 正常 不失真 R P2减小 饱和失真 R P2增大 ? 截止失真 V b1 (V) V C (V) V B (V) V O (V)

数字电子技术实验讲义(试用)

数字电子技术实验 简要讲义 适用专业:电气专业 编写人:于云华、何进 中国石油大学胜利学院机械与控制工程学院 2015.3

目录 实验一:基本仪器熟悉使用和基本逻辑门电路功能测试 (3) 实验二:小规模组合逻辑电路设计 (4) 实验三:中规模组合逻辑电路设计 (5) 实验四:触发器的功能测试及其应用 (7) 实验五:计数器的功能测试及其应用 (8) 实验六:计数、译码与显示综合电路的设计 (9)

实验一:基本仪器熟悉使用和常用门电路逻辑功能测试 (建议实验学时:2学时) 一、实验目的: 1、熟悉实验仪器与设备,学会识别常用数字集成芯片的引脚分配; 2、掌握门电路的逻辑功能测试方法; 3、掌握简单组合逻辑电路的设计。 二、实验内容: 1、测试常用数字集成逻辑芯片的逻辑功能:74LS00,74LS02,74LS04,74LS08,74LS20,74LS32,74LS86等(预习时查出每个芯片的逻辑功能、内部结构以及管脚分配)。 2、采用两输入端与非门74LS00实现以下逻辑功能: ① F=ABC ② F=ABC③ F=A+B ④ F=A B+A B 三、实验步骤:(学生根据自己实验情况简要总结步骤和内容)主要包括: 1、实验电路设计原理图;如:实现F=A+B的电路原理图: 2、实验真值表; 3、实验测试结果记录。如: 输入输出 A B F3 00灭

四、实验总结: (学生根据自己实验情况,简要总结实验中遇到的问题及其解决办法)注:本实验室提供的数字集成芯片有: 74LS00, 74LS02,74LS04,74LS08,74LS20,74LS32,74LS74,74LS90,74LS112, 74LS138,74LS153, 74LS161 实验二:小规模组合逻辑电路设计 (建议实验学时:3学时) 一、实验目的: 1、学习使用基本门电路设计、实现小规模组合逻辑电路。 2、学会测试、调试小规模组合逻辑电路的输入、输出逻辑关系。 二、实验内容: 1、用最少的门电路设计三输入变量的奇偶校验电路:当三个输入端有奇数个1时,输出为高,否则为低。(预习时画出电路原理图,注明所用芯片型号) 2、用最少的门电路实现1位二进制全加器电路。(预习时画出电路原理图,注明所用芯片型号) 3、用门电路实现“判断输入者与受血者的血型符合规定的电路”,测试其功能。要求如下:人类由四种基本血型:A、B、AB、O 型。输血者与受血者的血型必须符合下述原则: O型血可以输给任意血型的人,但O型血的人只能接受O型血; AB型血只能输给AB型血的人,但AB血型的人能够接受所有血型的血; A 型血能给A型与AB型血的人;但A型血的人能够接受A型与O型血; B型血能给B型与AB型血的人,而B型血的人能够接受B型与O型血。 试设计一个检验输血者与受血者血型是否符合上述规定的逻辑电路,如果符合规定电路,输出高电平(提示:电路只需要四个输入端,它们组成一组二进制数码,每组数码代表一对输血与受血的血型对)。 约定“00”代表“O”型 “01”代表“A”型 “10”代表“B”型 “11”代表“AB”型(预习时画出电路原理图,注明所用芯片型号) 三、实验步骤:(学生根据自己实验情况简要总结步骤和内容),与实验一说明类似。

数字电子技术实验报告

专业: 班级: 学号: 姓名: 指导教师: 电气学院

实验一集成门电路逻辑功能测试 一、实验目的 1. 验证常用集成门电路的逻辑功能; 2. 熟悉各种门电路的逻辑符号; 3. 熟悉TTL集成电路的特点,使用规则和使用方法。 二、实验设备及器件 1. 数字电路实验箱 2. 万用表 3. 74LS00四2输入与非门1片74LS86四2输入异或门1片 74LS11三3输入与门1片74LS32四2输入或门1片 74LS04反相器1片 三、实验原理 集成逻辑门电路是最简单,最基本的数字集成元件,目前已有种类齐全集成门电路。TTL集成电路由于工作速度高,输出幅度大,种类多,不宜损坏等特点而得到广泛使用,特别对学生进行实验论证,选用TTL电路较合适,因此这里使用了74LS系列的TTL成路,它的电源电压为5V+10%,逻辑高电平“1”时>2.4V,低电平“0”时<0.4V。实验使用的集成电路都采用的是双列直插式封装形式,其管脚的识别方法为:将集成块的正面(印有集成电路型号标记面)对着使用者,集成电路上的标识凹口左,左下角第一脚为1脚,按逆时针方向顺序排布其管脚。 四、实验内容 ㈠根据接线图连接,测试各门电路逻辑功能 1. 利用Multisim画出以74LS11为测试器件的与门逻辑功能仿真图如下

按表1—1要求用开关改变输入端A,B,C的状态,借助指示灯观测各相应输出端F的状态,当电平指示灯亮时记为1,灭时记为0,把测试结果填入表1—1中。 表1-1 74LS11逻辑功能表 输入状态输出状态 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 悬空 1 1 1 悬空0 0 0 2. 利用Multisim画出以74LS32为测试器件的或门逻辑功能仿真图如下

电子技术基础实验报告要点

电子技术实验报告 学号: 222014321092015 姓名:刘娟 专业:教育技术学

实验三单级交流放大器(二) 一、实验目的 1. 深入理解放大器的工作原理。 2. 学习测量输入电阻、输出电阻及最大不失真输出电压幅值的方法。 3. 观察电路参数对失真的影响. 4. 学习毫伏表、示波器及信号发生器的使用方法。 二. 实验设备: 1、实验台 2、示波器 3、数字万用表 三、预习要求 1、熟悉单管放大电路。 2、了解饱和失真、截止失真和固有失真的形成及波形。 3、掌握消除失真方法。 四、实验内容及步骤 ●实验前校准示波器,检查信号源。 ●按图3-1接线。 图3-1 1、测量电压参数,计算输入电阻和输出电阻。 ●调整RP2,使V C=Ec/2(取6~7伏),测试V B、V E、V b1的值,填入表3-1中。 表3-1 Array ●输入端接入f=1KHz、V i=20mV的正弦信号。 ●分别测出电阻R1两端对地信号电压V i及V i′按下式计算出输入电阻R i : ●测出负载电阻R L开路时的输出电压V∞,和接入R L(2K)时的输出电压V0 , 然后按下式计算出输 出电阻R0;

将测量数据及实验结果填入表3-2中。 2、观察静态工作点对放大器输出波形的影响,将观察结果分别填入表3-3,3-4中。 ●输入信号不变,用示波器观察正常工作时输出电压V o的波形并描画下来。 ●逐渐减小R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失真的波形描 画下来,并说明是哪种失真。( 如果R P2=0Ω后,仍不出现失真,可以加大输入信号V i,或将R b1由100KΩ改为10KΩ,直到出现明显失真波形。) ●逐渐增大R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失真波形描画 下来,并说明是哪种失真。如果R P2=1M后,仍不出现失真,可以加大输入信号V i,直到出现明显失真波形。 表 3-3 ●调节R P2使输出电压波形不失真且幅值为最大(这时的电压放大倍数最大),测量此时的静态工 作点V c、V B、V b1和V O 。 表 3-4 五、实验报告 1、分析输入电阻和输出电阻的测试方法。 按照电路图连接好电路后,调节RP2,使Vc的值在6-7V之间,此时使用万用表。接入输入信号1khz 20mv后,用示波器测试Vi与Vi’,记录数据。用公式计算出输入电阻的值。在接入负载RL和不接入负载时分别用示波器测试Vo的值,记录数据,用公式计算出输出电阻的值。 2、讨论静态工作点对放大器输出波形的影响。 静态工作点过低,波形会出现截止失真,即负半轴出现失真;静态工

数字电路实验报告3

数字电路实验报告3 暨南大学本科实验报告专用纸 课程名称数字逻辑电路实验成绩评定实验项目名称三态门特性研究和典型应用指导教师实验项目编号 0806003803实验项目类型验证型实验地点 B406 学生姓名学号 学院电气信息学院系专业电子信息科学与技术实验时间 2013 年5 月27日上午~月日午温度℃湿度 三态门特性研究和典型应用 一、实验目的 1.学习应用实验的方法分析组合逻辑电路功能。 2.熟悉三态门逻辑特性和使用方法。 3.掌握三态门的典型应用,熟悉三态门输出控制和构成总线的应用。 4.学习数字系统综合实验平台可编辑数字波形发生器使用方法。 二、实验器件、仪器和设备 1. 4双输入与非门74LS00 1片 2. 4三态输出缓冲器74LS125 1片 3. 4异或门74LS86 1片 4. 数字万用表UT56 1台 5. TDS-4数字系统综合实验平台 1台 6. PC机(数字信号显示仪) 1台 7. GOS-6051示波器 1台 芯片引脚图 三、实验步骤和测试分析 1、三态门逻辑特性测试(用表格记录测试数据) ①74LS125三态门的输出负载为74LS00一个与非门输入端。 74LS00同一个与非门的另一个输入端接低电平,测试74LS125三态门三态输出、高电平输出、低电平输出的电压值。同时测试74LS125三态输出时74LS00输出值。 测试电路图及数据表格如下页所示。 ②74LS125三态门的输出负载为74LS00一个与非门输入端。 74LS00同一个与非门的另一个输入端接高电平,测试74LS125三态门三态输出、高电平输出、低电平输出的电压值。同时测试74LS125三态输出时74LS00输出值。

现代电子技术综合实验报告 熊万安

电子科技大学通信与信息工程学院实验报告 实验名称现代电子技术综合实验 姓名: 学号: 评分: 教师签字 电子科技大学教务处制

电子科技大学 实验报告 学生姓名:学号:指导教师:熊万安 实验地点:科A333 实验时间:2016.3.7-2016.3.17 一、实验室名称:电子技术综合实验室 二、实验项目名称:电子技术综合实验 三、实验学时:32 四、实验目的与任务: 1、熟悉系统设计与实现原理 2、掌握KEIL C51的基本使用方法 3、熟悉SMART SOPC实验箱的应用 4、连接电路,编程调试,实现各部分的功能 5、完成系统软件的编写与调试 五、实验器材 1、PC机一台 2、SMART SOPC实验箱一套 六、实验原理、步骤及内容 试验要求: 1. 数码管第1、2位显示“1-”,第3、4位显示秒表程序:从8.0秒到1.0秒不断循环倒计时变化;同时,每秒钟,蜂鸣器对应发出0.3秒的声音加0.7秒的暂停,对应第8秒到第1秒,声音分别为“多(高

音1)西(7)拉(6)索(5)发(4)米(3)莱(2)朵(中音1)”;数码管第5位显示“-”号,数码管第6、7、8位显示温度值,其中第6、7位显示温度的两位整数,第8位显示1位小数。按按键转到任务2。 2. 停止声音和温度。数码管第1、2位显示“2-”,第3、4位显示学号的最后2位,第5位显示“-”号,第6到第8位显示ADC电压三位数值,按按鍵Key后转到任务3,同时蜂鸣器发出中音2的声音0.3秒; 3. 数码管第1、2位显示“3-”,第3、4位显示秒表程序:从8.0秒到1.0秒不断循环倒计时变化;调节电压值,当其从0变为最大的过程中,8个发光二极管也从最暗(或熄灭)变为最亮,当电压值为最大时,秒表暂停;当电压值为最小时,秒表回到初始值8.0;当电压值是其他值时,数码管又回到第3、4位显示从8.0秒到1.0秒的循环倒计时秒表状态。按按鍵Key回到任务1,同时蜂鸣器发出中音5的声音0.3秒。

数字电路基础实验3

数字电子电路的基础实验 实验3 触发器及其应用 一、实验目的 1、掌握基本RS 、JK 、D 和T 触发器的逻辑功能; 2、掌握集成触发器的逻辑功能及使用方法; 3、掌握触发器之间相互转换的方法。 二、实验原理 触发器具有两个稳定状态,分别表示逻辑状态“1”和“0”。在一定的外界信号作用下,它可以从 一个稳定状态翻转到另一个稳定状态,是一个具有记忆功能的二进制信息存储器件,是构成各种时序电路的最基本逻辑单元。 1、 基本RS 触发器 图4.29为由两个与非门交叉耦合构成的基本RS 触发器,它是无时钟控制低电平直接触发的触发器。基本RS 触发器具有置“0”、置“1”和“保持”三种功能。通常称S 为置“1”端,因为S =0(R =1)时触发器被置“1”;R 为置“0”端,因为R =0(S =1)时触发器被置“0”,当S =R =1时状态保持;S =R =0时,触发器状态不定,应避免此种情况发生,表4.18为基本RS 触发器的功能表。基本RS 触发器。也可以用两个“或非门”组成,此时为高电平触发有效。 2、JK 触发器 在输入信号为双端的情况下,JK 触发器是功能完善、使用灵活和通用性较强的一种触发器。本实验采用74HC112双JK 触发器,是下降边沿触发的边沿触发器。引脚功能及逻辑符号如图4.30所示。JK 触发器的状态方程为:Q n+1 =J Q n +K Q n 表4.18 基本RS 触发器的功能表 图4.29 基本RS 触发器 J 和K 是数据输入端,是触发器状态更新 的依据,若J 、K 有两个或两个以上输入端时, 组成“与”的关系。Q 与Q 为两个互补输出 端。通常把 Q =0、Q =1的状态定为触 发器“0”状态;而把Q =1,Q =0定为 “1”状态下降沿触发JK 触发器的功能如 表4.19所示。 注:×为 任意态, ↓为高到低电平跳变, 图4.30 74HC112的引脚排列图及逻辑符号

数字电子技术实验报告汇总

《数字电子技术》实验报告 实验序号:01 实验项目名称:门电路逻辑功能及测试 学号姓名专业、班级 实验地点物联网实验室指导教师时间2016.9.19 一、实验目的 1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。 2. 掌握数字电路实验箱及示波器的使用方法。 3、学会检测基本门电路的方法。 二、实验仪器及材料 1、仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件: 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 三、预习要求 1. 预习门电路相应的逻辑表达式。 2. 熟悉所用集成电路的引脚排列及用途。 四、实验内容及步骤 实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连线。注意集成块芯片不能插反。线接好后经实验指导教师检查无误方可通电实验。实验中

1.与非门电路逻辑功能的测试 (1)选用双四输入与非门74LS20一片,插入数字电路实验箱中对应的IC座,按图1.1接线、输入端1、2、4、5、分别接到K1~K4的逻辑开关输出插口,输出端接电平显 图 1.1 示发光二极管D1~D4任意一个。 (2)将逻辑开关按表1.1的状态,分别测输出电压及逻辑状态。 表1.1 输入输出 1(k1) 2(k2) 4(k3) 5(k4) Y 电压值(v) H H H H 0 0 L H H H 1 1 L L H H 1 1 L L L H 1 1 L L L L 1 1 2. 异或门逻辑功能的测试

图 1.2 (1)选二输入四异或门电路74LS86,按图1.2接线,输入端1、2、4、5接逻辑开关(K1~K4),输出端A、B、Y接电平显示发光二极管。 (2)将逻辑开关按表1.2的状态,将结果填入表中。 表1.2 输入输出 1(K1) 2(K2) 4(K35(K4) A B Y 电压(V) L H H H H L L L H H H H L L L H H L L L L L H H 1 1 1 1 1 1 1 1

现代电子实验报告 电子科技大学

基于FPGA的现代电子实验设计报告 ——数字式秒表设计(VHDL)学院:物理电子学院 专业: 学号: 学生姓名: 指导教师:刘曦 实验地点:科研楼303 实验时间:

摘要: 通过使用VHDL语言开发FPGA的一般流程,重点介绍了秒表的基本原理和相应的设计方案,最终采用了一种基于FPGA 的数字频率的实现方法。该设计采用硬件描述语言VHDL,在软件开发平台ISE上完成。该设计的秒表能准确地完成启动,停止,分段,复位功能。使用ModelSim 仿真软件对VHDL 程序做了仿真,并完成了综合布局布线,最终下载到EEC-FPGA实验板上取得良好测试效果。 关键词:FPGA,VHDL,ISE,ModelSim

目录 绪论 (4) 第一章实验任务 (5) 第二章系统需求和解决方案计划 (5) 第三章设计思路 (6) 第四章系统组成和解决方案 (6) 第五章各分模块原理 (8) 第六章仿真结果与分析 (11) 第七章分配引脚和下载实现 (13) 第八章实验结论 (14)

绪论: 1.1课程介绍: 《现代电子技术综合实验》课程通过引入模拟电子技术和数字逻辑设计的综合应用、基于MCU/FPGA/EDA技术的系统设计等综合型设计型实验,对学生进行电子系统综合设计与实践能力的训练与培养。 通过《现代电子技术综合实验》课程的学习,使学生对系统设计原理、主要性能参数的选择原则、单元电路和系统电路设计方法及仿真技术、测试方案拟定及调测技术有所了解;使学生初步掌握电子技术中应用开发的一般流程,初步建立起有关系统设计的基本概念,掌握其基本设计方法,为将来从事电子技术应用和研究工作打下基础。 本文介绍了基于FPGA的数字式秒表的设计方法,设计采用硬件描述语言VHDL ,在软件开发平台ISE上完成,可以在较高速时钟频率(48MHz)下正常工作。该数字频率计采用测频的方法,能准确的测量频率在10Hz到100MHz之间的信号。使用ModelSim仿真软件对VHDL程序做了仿真,并完成了综合布局布线,最终下载到芯片Spartan3A上取得良好测试效果。 1.2VHDL语言简介:

数字电子技术实验练习内容

数字电子技术实验练习内容 实验二TTL与非门的应用 一、实验内容 1.用五个二输入与非门设计一个半加器。 2.用二输入与非门设计一个三开关控制同一灯泡电路,要求三个开关能够独立控制灯泡的亮灭。 3.用一个四输入与非门与三个二输入与非门设计一个电路,实现函数 ∑ ,9,8,7,6,5,4( 10 , ) ABCD (m F。要求只有原变量输入、没有反变量输 , 14 =) 11 , 13 , 12 入。 4.用九个二输入与非门设计一个一位全加器。 二、思考题 1.TTL门电路的闲置输入端应如何处理? 2.写出影响TTL与非门扇出系数的两个重要参数的概念。 3.TTL门电路的电压传输特点就是什么? 实验三CMOS与非门的应用 一、实验内容 1.用CD4011与非门设计一个同或门电路与一个异或门电路。 2.利用一块CD4011设计一个楼上、楼下开关的控制逻辑电路来控制楼梯上的路灯,使之在上楼前,用楼下开关打开电灯,上楼后,用楼上开关熄灭电灯;或者在下楼前,用楼上开关打开电灯,下楼后,用楼下开关熄灭电灯。 3.密码锁共有三个按钮,当三个按钮未按下或第一个按钮单独按下时,锁既不打开也不报警;只有当三个按钮同时按下、或者第一个第二个按钮同时按下、或者第一个第三个按钮同时按下时,锁才能被打开,当按下按钮不属于上述状况时,将发出报警信息。要求:用两块CD4011设计逻辑电路,使用的与非门数量最少,以达到最佳设计方案。 二、思考题 1.CMOS集成电路或门、或非门的闲置输入端如何处理? 2.CMOS集成电路的电压传输特性有什么特点? 3.CMOS集成与非门、与门的闲置输入端如何处理? 实验五组合逻辑电路的设计 一、实验内容 1.用74LS86与74LS00设计四开关控制同一灯泡电路,要求四个开关能够独立控制灯泡的亮灭。 2.用74LS86、CD4081、CD4071设计一个一位全加器电路。 3.用异或门、与门设计一个半加器电路。 4.用异或门与与非门设计一个一位全加器电路。 二、思考题 1.74LS54与或非门的闲置端如何处理?

数字电子技术实验-在线

数字电子技术综合实验指导书 实验一门电路功能测试及应用 一.实验目的 1.掌握了解TTL系列、CMOS系列外形及逻辑功能。 2.熟悉各种门电路参数的测试方法。 3. 熟悉集成电路的引脚排列 二、实验仪器及材料 a)东南大学在线实验平台-SEU远程实境实验平台数字逻辑电路实验 三.预习要求 1)复习门电路工作原理及相应逻辑表达式。 2)常用TTL门电路和CMOS门电路的功能、特点。 3)熟悉所用集成电路的引线位置及各引线用途。 四、实验原理及芯片外引线图、逻辑符号及逻辑图 1.TTL门电路 TTL门电路是数字电路中应用最广泛的门电路,基本门有与门、或门和非门。复合门有与非门、或非门、与或非门和异或门等。这种电路的电源电压为+5V,电源电压允许变化范围比较窄,一般在 4.5~5.5V 之间。高电平的典型值是3.6V(高电平≥2.4V合格),低电平的典型值是0.3V(低电平≤0.45V合格)。 对门电路的多余输入端,最好不要悬空,虽然对TTL门电路来说,悬空相当于逻辑1,并不影响与门、与非门的逻辑关系,但悬空容易接受干扰,有时会造成电路的误动作。不同的逻辑门,其多余输入端的处理有不同的方法。 ⑴TTL与门、与非门多余输入端的处理 TTL与门、与非门多余输入端的处理方法是:把多余的输入端与有用的输入端并联使用;把多余输入端接高电平或通过串接限流电阻(大于或等于1KΩ)接V CC。实际使用中多采用把多余的输入端通过串接限流电阻接V CC的方法。其处理方法如图5-1所示。 (a) (b) (c) 图5-1 TTL与门与非门多余输入端的处理方法 ⑵TTL或门、或非门多余输入端的处理 TTL或门、或非门多余输入端的处理方法是:把多余的输入端与有用的输入端并联使用;把多余的输入端接低电平或接地。 2.CMOS门电路 CMOS门电路具有输入电阻高、功耗小、制造工艺简单、集成度高、电源电压变化范围大(3~18V)、输出电压摆幅大和噪声容限高等优点,因而在数字电路中得到了广泛的应用。高电平的典型值是电源电压V DD,低电平的典型值是0V。由于CMOS门电路的输入电阻很高,容易受静电感应而造成击穿,使其损坏,因此使用时应注意以下几点:

电子技术实验报告—实验8集成运算放大器的运用——运算器

电子技术实验报告 实验名称:集成运算放大器的运用——运算器系别: 班号: 实验者姓名: 学号: 实验日期: 实验报告完成日期:

目录 一、实验目的 (3) 二、实验原理 (3) 1. 反相放大器 (3) 2. 同相放大器 (3) 3. 电压跟随器 (4) 4. 反向加法器 (4) 5. 减法器 (5) 6. 积分器 (5) 三、实验仪器 (6) 四、实验内容 (6) 1. 反相放大器 (6) 2. 同相放大器 (8) 3. 加法器 (9) 4. 减法器 (11) 5. 积分器 (12) 五、实验小结 (13)

一、实验目的 1. 熟悉集成运算放大器的性能和使用方法; 2. 掌握集成运放的构成基本的模拟信号运算电路。 二、实验原理 集成运算放大器是一种高增益、高输入阻抗、低输出阻抗的直流放大器。若外加反馈网络,便可实现各种不同的电路功能。例如,施加线性负反馈网络,可以实现放大功能,以及加、减、微分、积分等模拟运算功能;施加非线性负反馈网络,可以实现乘、除、对数等模拟运算功能以及其他非线性变换功能。 1. 反相放大器 电路如图2所示,信号由反相端输入。在理想的条件下,反相放大器的闭环电压增益为: A VF=V O i =? R F 1 由上式可知,闭环电压增益的大小,完全取决于电阻的比值R F/R1,电阻值的误差将是测量误差的主要来源。 当取R F=R1,则放大器的输出电压等于输入电压的负值,即:V O=?R F R1 V i=?V i此时反相放大器起反相跟随器作用。 2. 同相放大器 电路如图3所示,信号由同相端输入,在理想的条件下,同相放大器的闭环

暨南大学数字电子技术实验3报告

暨南大学本科实验报告专用纸 课程名称数字逻辑电路实验成绩评定 实验项目名称组合逻辑电路组装与设计测调试指导教师秦岭松 实验项目编号实验项目类型验证型实验地点 B406 学生姓名学号 学院电气信息系专业 实验时间年月日下午~月日午温度℃湿度 一.实验目的 1.深入学习掌握组合逻辑电路的设计和安装方法。 2.进一步加深数字逻辑电路调测试方法掌握。 3.学习DS-4 型数字系统综合实验平台可编辑数字波形发生器组成和 使用方法。 二.实验器件、仪器和设备 1.四双输入与非门74LS00 1片 2.四异或门74LS86 1片 3.双4输入与非门74LS20 1片 4.PC机(数字信号显示仪) 5.数字万用表UT56 6.TDS-4数字系统综合实验平台 7.GOS-6051示波器 暨南大学本科 实验报告专用 纸(附页)

三.实验步骤和测试分析

1.组合逻辑电路测试方法介绍 数字电路静态测试方法是:给定数字电路若干组静态输入值,测定数字电路的输出值是否正确。数字电路状态测试的过程是在数字电路设计好后,将其安装连接成完整的线路,把线路的输入接到逻辑电平开关上,线路的输出接到电平指示灯(LED)或用万用表测量进行电平测试,按功能表或状态表的要求,改变输入状态,观察输入和输出之间的关系是否符合设计要求。数字电路电平测试是测量数字电路输入与输出逻辑电平(电压)值是否正确的一种方法。 静态测试是检查设计与接线是否正确无误的重要一步。 数字电路动态测试方法是:在静态测试的基础上,按设计要求在输入端加动态脉冲信号,观察输出端波形是否符合设计要求,这是动态测试,动态测试的主要目的测试电路的频率特性(如测试电路使用时的频率范围)等)及稳定特性等。 测试数据分析: 1)要使74系列TTL集成芯片正确工作除了必须接好电源(+V CC =5V和地GND)外,还必须使逻辑输入低电平≤0.8V(越靠近0V 越好),输入高电平≥2V (越靠近5V越好),如果不按照此输入 电平要求进行信号输入就可能损坏芯片或得不到正确的逻辑输出 电平。 2) 74系列TTL集成芯片正确的输出逻辑低电平≤0.2V (越靠 近0V越好),输出高电平≥3.5V (越靠近5V越好),如果芯片输 出逻辑电平不符合以上值,这说明有可能芯片损坏、或者电路连 接、或者设计存在问题,实验过程中随时必须对测试的数据进行 分析,当发现测试数据不符合逻辑电平的有效输出值或不满足设 计要求逻辑电平时,电路可能存在问题,对于存在的问题必须查 找原因,并解决存在问题,这样才能使得实验者获得理论和实验 水平的提高,从而达到做实验的真正目的。 2.裁判合格信号逻辑电路组装与测试 1)逻辑电路组装 根据预习时画出的举重比赛裁判合格信号逻辑电路接线图,采用74LS00芯片组装实现举重比赛裁判合格信号逻辑电路。 2)电路测调试 i.采用静态测试方法进行电路测调试。 暨南大学本科实验报告专用纸(附页)

电子技术实验报告

电子技术实验报告 一、元器件认识 (一)、电阻 电阻元件的的标称阻值,一般按规定的系列值制造。电阻元件的误差有六级,对应的标称值系列有E192、E96、E12和E6。电阻在电路中的主要作用为分流、限流、分压、偏置等。 电阻器的标称值和误差等级一般都用数字标印在电阻器的保护漆上。但体积很小的和一些合成的电阻器其标称值和误差等级常以色环的方便之处,能清楚地看清阻值,便于装配和维修。 电阻色码图 颜色黑棕红橙黄绿蓝紫灰白金银本色对应0 1 2 3 4 5 6 7 8 9 / / / 数值 4 567890123对应/ / / 10 10 10 10 10 10 10 10 10 10 n10 方 次 表示/ +1% +2% / / +0.5% +0.25% +0.1% / / +5% +10& +20% 误差-1% -2% -0.5% -0.25% -0.1% -5% -10% -20% 值 色环表示方法有两种形式,一种是四道环表示法,另外一种是五道环表示法。 四道色环:第1,2色环表示阻值的第一、第二位有效数字,第3色环表示两位n数字再乘以10 的方次,第4色环表示阻值的误差。五道色环:第1,2,3色环

n表示阻值的3位数字,第4色环表示3位数字再乘以10的方次,第5色环表示阻值的误差。 ,二,电容值识别 电容在电路中一般用“C”加数字表示(如C13表示编号为13的电容).电容是由两片金属膜紧靠,中间用绝缘材料隔开而组成的元件.电容的特性主要是隔直流通交流. 电容容量的单位为皮法(pf)或(uf),大多数电容的容量值都印其外封装上,主要有两种识别方法,一种是直接识别方法,例如220UF就是220uF,4n7就是 4.7nF;另一种是指数标识,一般以数值乘以倍率表示,倍率值一般用最后 3一位数字表示,单位为pf。比如103,表示容量为10*10pf,即0.01uf;而224表示容量为22*10000pf,即0.22uf;331,表示容量为33*10pf,即330pf。误差用字母表示。“k”表示误差额为10%,“j”表示误差额为5%。而字母“R”可用于表示小数点,例如3R3=3.3 1 (三)用万用表测试半导体二极管 将一个PN结加上正负电极引线,再用外壳封装就构成半导体二极管。由P区引出的电极为正(或称阳极),由N区引出的电极为负极(或称阴极)。 (1) 鉴别二极管的正,负极电极 用万用表表测量二极管的极性电路图,黑表棒接内部电池正极,红表棒接内部电池负极。测量二极管正向极性时按“A”连接,万用表的欧姆档量程选在R*10档。若读数在几百到几百千欧以下,表明黑表棒所接的一段为二极管的正极,二极管正向导通,电阻值较小;若读数很大,则红表棒所接的一端是二极管的正极,此时二极管反向截止。二极管的基本特性是单向导电性。 (四)用万用表测试小功率晶体三极管

数字电子技术实验指导书

《数字电子技术》实验指导书 安阳工学院 电子信息与电气工程学院

实验一门电路逻辑功能及测试 一、实验目的 1.掌握集成门电路的逻辑功能和主要参数的测试方法。 2.熟悉数字电路实验箱及示波器使用方法。 3.学会如何使用集成门电路。 二、实验仪器及材料 1.双踪示波器 2.器件 74LS00 二输入端四与非门 2片 74LS20 四输入端双与非门 1片 74HC86 二输入端四异或门 1片 74LS04 六反相器 1片 三、预习要求 1. 复习门电路的工作原理及相应逻辑表达式。 2.熟悉所用集成电路的引线位置及各引线用途。 3. 了解双踪示波器使用方法。 四、实验内容 实验前按学习机使用说明先检查学习机电源是否正常。然后选择实验用的集成电路,按自己设计的实验接线图接好连线,特别注意Vcc及地线不能接错。线接好后经实验指导教师检查无误方可通电实验。实验中改动接线须先断开电源,接好线后再通电实验。 1. 测试门电路逻辑功能 (1)选用双四输入与非门74LS20一只,插入面包板,按图1.1接线:输入端(第1、2、4、5管脚)接电平开关,输出端(第6管脚)接电平显示发光二极管(注意:74LS20第7管脚接地,第14管脚接电源)。 (2)将电平开关按表1.l置位,分别测输出电压及逻辑状态。

表1.1 1245 6 图1.1 74LS20功能测试图 2. 异或门逻辑功能测试 (1) 选二输入四异或门电路74HC86, 按图1.2接线,输入端1、2、4、5接电平开关,输出端A 、B 、Y 接电平显示发光二级管。 U1A 接电平开关 图 1.2 74HC86连接图 (2) 将电平开关按表1.2置位,将结果填入表中。 表1.2

相关主题
文本预览
相关文档 最新文档