当前位置:文档之家› Multisim实习报告

Multisim实习报告

Multisim实习报告
Multisim实习报告

线

指导老师:郑娜

班级:测控09-1

姓名:蔡华伟

学号:200926060101

日期:2010-11-08

至11-12

目录

1.目录 (2)

2. 实习要求 (3)

3. 软件介绍 (3)

4. 设计题目 (4)

5. 设计内容 (5)

5.1 设计一个基极分压式射极偏置电路

(5)

5.2合理选择集成运放和电阻值,实现以

下运算关系:Uo=0.2Ui1-10Ui2+1.3Ui3.

(7)

5.3设计一个方波——三角波转换电路

(8)

6. 结束语 (9)

7. 参考资料 (10)

实习要求:

1熟练掌握Multisim 9软件的基本操作;

2 在EDA软件平台上,对原理图.波形图或者硬

件描述语言为系统功能描述手段完成的设计文件,自动地

完成编译,化解,综合,优化,布局布线,仿真等工作。软件简介:

电子工作平台Electronics Work Bench(EWB),现称为Multisim。2006年推出的Multisim9.0软件是加拿大Interactive Image Technologies公司于20世纪80年代末,90年代初推出的电子电路仿真的虚拟电子工作软件。它具有这样一些特点:(1)采用直观的图形界面创建电路,在计算机屏幕上模仿真实实验的工作平台,创建电路需要的元器件,电路仿真需要的测试仪器均可直接从屏幕上选取,操作方便。

(2)Multisim提供的虚拟仪器的控制面板外形和操作方式都与实物相似,可以实时显示测量结果。

(3)Mutisim带有丰富的元件库,提供13000个元件。元件被分为不同“系列”,可以非常方便的选取。

(4)Multisim具有强大的电路分析功能,提供了直流分析,交流分析,瞬时分析,傅立叶分析,传输函数分析等19种分析功能。作为设计工具,它可以同其他流行的电路分析,设计和制版软件交换数据。

(5)Multisim是一种优秀的电子技术训练工具,利用它提供

的虚拟仪器可以用比实验室中更灵活的方式进行电路实验。设计题目:

1.设计一个基极分压式射极偏置电路,已知条件:

Vcc=12v,Rl=5.1k,设Icq=1mA,设计计算并选取电路元件参数,使放大电路能够不失真的放大正弦波信号,并达到|Av|>=80.

2.合理选择集成运放和电阻值,实现以下运算关系:

Uo=0.2Ui1-10Ui2+1.3Ui3.

3.设计一个方波——三角波转换电路,要求:(1)输入信号为峰峰

值Uip-p=+-4v,周期为T=1ms的方波,(2)要求方波与三角波同相位,(3)输出信号峰峰值Uop-p=1/2Uip-p,(4)输入电阻Ri>=10K。

设计内容:

1.由已知Icq=1mA,取β =100。

Ieq=Icq=1mA

r be=200+(1+ β )*26(mV)/Ieq=2.8K

由Av= β Rl’/ r be,(取Av=100),得Rl’=2.8K

由Rl’=Rl | | Rc,得Rc=6.2K

2.Re=Vbq/Icq,(取Vbq=4V),得Re=3K。

又当Rb2/Rb1=1 :2,Vbq=4V,取Rb2=33K

得Rb2=68K,

3.连接电路,对各参数做适当微量调整,仿真……

Av=Uo/Ui=476.344/4.913=97,基本符合题目要求。

4.输入——输出波形对比。

1.用求和电路求 -0.2Ui1-1.3Ui3。

R3/R2=0.2,R3/R1=1.3.(取R3=13Ω)

得:r1=10Ω,R2=62Ω

2.用第二级求和电路求0.2Ui1-10 Ui2+ 1.3Ui3。

R6/R5=1,R6/R4=10.(取R6=13Ω)得:r4=1.3Ω,R5=13Ω

3.理论值:Uo=0.2Ui1-10 Ui2+ 1.3Ui3=-13.6V (设Ui1=0.5V,Ui2=1V,Ui3=1.5V)

4.选择合适运算放大器,连接电路……

5.经过仿真,得Uo=-13.586V。

1.用积分电路求反相位三角波:

由已知Uip-p=+-4V,Uop-p=1/2Uip-p,T=1ms,Ri>=10K。

Uop-p=-【Uip-p(1/2)T】/Ri*C,取Ri=10K

得:C=100nF。

使放大器不失真,需与方波信号源串联一电阻R1=10K 2.在用一级反相放大器,使得三角波与方波信号同相,且三

角波峰峰值不变,接R2=R3=100K。

3.连接电路,仿真……

4.仿真结果,方波转化为同相位的三角波。

结束语:

时间过得真快,转眼间一周的实习时间就过了。在这段时间里,我学到了很多在理论课上学不到的东西,也认识到了自己很多的不足,感觉收益非浅,以下是我在实习期间对工作的总结以及一些自己的心得体会。

大学生成长,就要勤于实践,将所学的理论知识与实践相结合一起,在实践中继续学习,不断总结,逐步完善,有所创新,并在实践中提高自己由知识、能力、智慧等因素融合成的综合素质和能力,为自己事业的成功打下良好的基础。

通过这次实习让我认清了自己的很多不足和缺点。第一

个就是基本理论知识没有掌握牢固,所以在电路设计时出现了不少低级错误;第二是缺乏对问题分析的经验,往往一出错就不知从何入手分析了。所以以后需要更加认真的学习理论知识。

一周实习,使我更深一步了解模拟电路的相关知识,对知识掌握得更加牢固。学会了一种新的学习研究工具——Multisim 9软件,增近了理论到实践应用的过度。

深一步掌握了三极管静态工作点的选取,三极管正常工作的条件。三极管不同状态的参数,和分析解决三极管工作不正常的一些基本分析解决方法。

近一步掌握集成运放电路的知识(求差电路、求和电路、微分电路、积分电路等),输入与输出之间的关系(电阻值的确定)。

我坚信通过这一段时间的实习,从中获得的实践经验使我终身受益,并会在我毕业后的实际工作中不断地得到印证,我会持续地理解和体会实习中所学到的知识,期望在未来的工作中把学到的理论知识和实践经验不断的应用到实际工作中来,充分展示我的个人价值和人生价值,为实现自我的理想和光明的前程而努力。

参考资料:

《电子技术实验教程》

《电子技术基础》

Multisim实验报告

实验一单级放大电路 一、实验目的 1、熟悉multisim软件的使用方法 2、掌握放大器静态工作点的仿真方法及其对放大器性能的影响 3、学习放大器静态工作点、放大电压倍数、输入电阻、输出电阻的仿真方法,了解共射极 电路的特性 二、虚拟实验仪器及器材 双踪示波器、信号发生器、交流毫伏表、数字万用表 三、实验步骤 4、静态数据仿真 电路图如下:

当滑动变阻器阻值为最大值的10%时,万用表示数为。 仿真得到三处节点电压如下: 则记录数据,填入下表: 仿真数据(对地数据)单位:V 计算数据 单位:V 基极V (3) 集电极V (6) 发射级V (7) Vbe Vce Rp 10K Ω 5、 动态仿真一 R151kΩ R2 5.1kΩR3 R5 100kΩ Key=A 10 % V110mVrms 1000 Hz 0° V212 V C110μF C210μF C347μF 2Q1 2N2222A 3 R7100Ω8 1 XSC1 A B Ext Trig + + _ _ + _ 746R61.5kΩ 5

(1)单击仪器表工具栏中的第四个(即示波器Oscilloscope),放置如图所示,并且连接电路。 (注意:示波器分为两个通道,每个通道有+和-,连接时只需要连接+即可,示波器默认的地已经接好。观察波形图时会出现不知道哪个波形是哪个通道的,解决方法是更改连接的导线颜色,即:右键单击导线,弹出,单击wire color,可以更改颜色,同时示波器中波形颜色也随之改变) (2)右键V1,出现properties,单击,出现 对话框,把voltage的数据改为10mV,Frequency的数据改为1KHz,确定。 (3)单击工具栏中运行按钮,便可以进行数据仿真。 (4) A B Ext Trig + + _ _+_

Multisim基础使用方法详解

第2章Multisim9的基本分析方法 主要容 ? 2.1 直流工作点分析(DC Operating Point Analysis ) ? 2.2 交流分析(AC Analysis) ? 2.3 瞬态分析(Transient Analysis) ? 2.4 傅立叶分析(Fourier Analysis) ? 2.5 失真分析(Distortion Analysis) ? 2.6 噪声分析(Noise Analysis) ? 2.7 直流扫描分析(DC Sweep Analysis) ? 2.8 参数扫描分析(Parameter Sweep Analysis) 2.1 直流工作点分析 直流工作点分析也称静态工作点分析,电路的直流分析是在电路中电容开路、电感短路时,计算电路的直流工作点,即在恒定激励条件下求电路的稳态值。 在电路工作时,无论是大信号还是小信号,都必须给半导体器件以正确的偏置,以便使其工作在所需的区域,这就是直流分析要解决的问题。了解电路的直流工作点,才能进一步分析电路在交流信号作用下电路能否正常工作。求解电路的直流工作点在电路分析过程中是至关重要的。 2.1.1构造电路 为了分析电路的交流信号是否能正常放大,必须了解电路的直流工作点设置得是否合理,所以首先应对电路得直流工作点进行分析。在Multisim9工作区构造一个单管放大电路,电路中电源电压、各电阻和电容取值如图所示。

注意:图中的1,2,3,4,5等编号可以从Options---sheet properties—circuit—show all 调试出来。 执行菜单命令(仿真)Simulate/(分析)Analyses,在列出的可操作分析类型中选择DC Operating Point,则出现直流工作点分析对话框,如图A所示。直流工作点分析对话框B。 1. Output 选项 Output用于选定需要分析的节点。 左边Variables in circuit 栏列出电路中各节点电压变量和流过电源的电流变量。右边Selected variables for 栏用于存放需要分析的节点。 具体做法是先在左边Variables in circuit 栏中选中需要分析的变量(可以通过鼠标拖拉进行全选),再单击Add按钮,相应变量则会出现在Selected variables for 栏中。如果Selected variables for 栏中的某个变量不需要分析,则先选中它,然后点击Remove按钮,该变量将会回到左边Variables in circuit 栏中。 2.Analysis Options 和Summary选项表示:分析的参数设置和Summary页中排列了该分析所设置的所有参数和选项。用户通过检查可以确认这些参数的设置。 2.1.3 检查测试结果

Multisim三相电路仿真实验

实验六 三相电路仿真实验 一、实验目的 1、 熟练运用Multisim 正确连接电路,对不同联接情况进行仿真; 2、 对称负载和非对称负载电压电流的测量,并能根据测量数据进行分析总结; 3、 加深对三相四线制供电系统中性线作用的理解。 4、 掌握示波器的连接及仿真使用方法。 5、 进一步提高分析、判断和查找故障的能力。 二、实验仪器 1.PC 机一台 2.Multisim 软件开发系统一套 三、实验要求 1.绘制出三相交流电源的连接及波形观察 2.学习示波器的使用及设置。 3.仿真分析三相电路的相关内容。 4.掌握三瓦法测试及二瓦法测试方法 四、原理与说明 1、负载应作星形联接时,三相负载的额定电压等于电源的相电压。这种联接方式的 特点是三相负载的末端连在一起,而始端分别接到电源的三根相线上。 2、负载应作三角形联接时,三相负载的额定电压等于电源的线电压。这种联接方式的特点是三相负载的始端和末端依次联接,然后将三个联接点分别接至电源的三根相线上。 3、电流、电压的“线量”与“相量”关系 测量电流与电压的线量与相量关系,是在对称负载的条件下进行的。画仿真图时要注意。 负载对称星形联接时,线量与相量的关系为: (1) P L U U 3= (2)P L I I = 负载对称三角形联接时,线量与相量的关系为: (1)P L U U = (2)P L I I 3= 4、星形联接时中性线的作用 三相四线制负载对称时中性线上无电流,不对称时中性线上有电流。中性线的作用是能将三相电源及负载变成三个独立回路,保证在负载不对称时仍能获得对称的相电压。

如果中性线断开,这时线电压仍然对称,但每相负载原先所承受的对称相电压被破坏,各相负载承受的相电压高低不一,有的可能会造成欠压,有的可能会过载。 五、实验内容及参考实验步骤 (一)、建立三相测试电路如下: 图1 三相负载星形联接实验电路图 1.接入示波器:测量ABC三相电压波形。并在下表中绘出图形。 Timebase:_________/DIV 三相电压相位差:φ=__________。 (二)、三相对称星形负载的电压、电流测量 (1)使用Multisim软件绘制电路图1,图中相电压有效值为220V。 (2)正确接入电压表和电流表,J1打开,J2 、J3闭合,测量对称星形负载在三相四线制(有中性线)时各线电压、相电压、相(线)电流和中性线电流、中性点位移电压。记入表1中。 (3)打开开关J2,测量对称星形负载在三相三线制(无中性线)时电压、相电压、相(线)电流、中性线电流和中性点位移电压,记入表1中。 表1 三相对称星形负载的电压、电流 (4)根据测量数据分析三相对称星形负载联接时电压、电流“线量”与“相量”的关系。 结论: (三)、三相不对称星形负载的电压、电流测量 (1)正确接入电压表和电流表,J1闭合,J2 、J3闭合,测量不对称星形负载在三相

Multisim仿真实验报告

Multisim仿真实验报告 实验课程:数字电子技术 实验名称:Multisim仿真实验 姓名:戴梦婷 学号: 13291027 班级:电气1302班 2015年6月11日

实验一五人表决电路的设计 一、实验目的 1、掌握组合逻辑电路——五人表决电路的设计方法; 2、复习典型组合逻辑电路的工作原理和使用方法; 3、提高集成门电路的综合应用能力; 4、学会调试Multisim仿真软件,并实现五人表决电路功能。 二、实验器件 74LS151两片、74LS32一片、74LS04一片、单刀双掷开关5个、+5V直流电源1个、地线1根、信号灯1个、导线若干。 三、实验项目 设计一个五人表决电路。在三人及以上同意时输出信号灯亮,否则灯灭,用8选1数据选择器74LS151实现,通过Multisim仿真软件实现。 四、实验原理 1、输入变量:A B C D E,输出:F;

3、逻辑表达式 F= ABCDE+ABCDE+ABCDE+ABCDE+ ABCDE+ ABCDE+ABC DE+ABCDE+ ABCDE+ ABCDE+ABCDE+ABCDE+ ABCDE+ABCDE+ABCDE+ABCDE =ABCDE+ ABCDE+ABCDE+ ABCD+ABCDE+ABCDE+ABCD+ABCDE+ ABCD+ABCD+ABCD 4、对比16选1逻辑表达式,令A3=A,A2=B,A1=C,A0=D,D3=D5=D6=D9=D10=D12=E, D 7=D 11 =D 13 =D 14 =D 15 =1,D =D 1 =D 2 =D 4 =D 8 =0; 5、用74LS151拓展构成16选1数据选择器。 五、实验成果 用单刀双掷开关制成表决器,同意开关打到上线,否则打到下线。当无人同意时,信号指示灯不亮,如下图:

基于multisim的晶闸管交流电路仿真实验分析报告

基于multisim的晶闸管交流电路仿真实验报告

————————————————————————————————作者:————————————————————————————————日期:

自动化(院、系)自动化专业112 班组电力电子技术课 学号21 姓名易伟雄实验日期2013.11.24 教师评定 实验一、基于Multisim的晶闸管交流电路仿真实验 一、实验目的 (1)加深理解单相桥式半控整流电路的工作原理。 (2)了解晶闸管的导通条件和脉冲信号的参数设置。 二、实验内容 2.1理论分析 在单相桥式半控整流阻感负载电路中,假设负载中电感很大,且电路已工作于稳态。在u2正半周,触发角α处给晶闸管VT1加触发脉冲,u2经VT1和VD4向负载供电。u2过零变负时,因电感作用使电流连续,VT1继续导通。但因a点电位低于b点电位,使得电流从VD4转移至VD2,VD4关断,电流不再流经变压器二次绕组,而是由VT1和VD2续流。此阶段,忽略器件的通态压降,则ud=0,不会像全控桥电路那样出现ud为负的情况。 在u2负半周触发角α时刻触发VT3,VT3导通,则向VT1加反压使之关断,u2经VT3和VD2向负载供电。u2过零变正时,VD4导通,VD2关断。VT3和VD4续流,ud又为零。此后重复以上过程。 2.2仿真设计

(院、系)专业班组课学号姓名实验日期教师评定 触发脉冲的参数设计如下图

(院、系)专业班组课学号姓名实验日期教师评定 2.3仿真结果 当开关S1打开时,仿真结果如下图

(院、系)专业班组课学号姓名实验日期教师评定 三、实验小结与改进 此次实验在进行得过程中遇到了很多的问题,例如:触发脉冲参数的设置,元器件的选择等其中。还有一个问题一直困扰着我,那就是为什么仿真老是报错。后来,通过不断在实验中的调试发现,这是因为一些元器件的参数设置过小,导致调试出错。总的来说,这次实验发现了很多问题,但在反复的调试下,最后我还是完成了实验。同时,也让我认识到实践比理论更难掌握。通过不断的发现问题,然后逐一解决问题,最后得出自己的结论,我想实验的乐趣就在于此吧。 而对于当开关S1打开时的实验结果,这是因为出现了失控现象。我从书中发现:当一个晶闸管持续导通而二极管轮流导通的情况,这使ud成为正弦半波,即半周期ud 为正弦,另外半周期ud为零,其平均值保持恒定,相当于单相半波不可控整流电路时的波形 另外,在实验过程中,我们如果进行一些改进:电路在实际应用中可以加设续流二极管,以避免可能发生的失控现象。实际运行中,若无续流二极管,则当α突然增大至180度或触发脉冲丢失时,会发生一个晶闸管持续导通而二极管轮流导通的情况,这使ud成为正弦半,即半周期ud为正弦,另外半周期ud为零,其平均值保持恒定,相当于单相半波不可控整流电路时的波形。有二极管时,续流过程由二极管完成,在续流阶段晶闸管关断,这就避免了某一个晶闸管持续导通从而导致失控的想象。同时续流期间导电回路中只有一个管压降,少了一个管压降,有利于降低损耗。

Multisim基础使用方法详解

M u l t i s i m基础使用方 法详解 集团标准化工作小组 #Q8QGGQT-GX8G08Q8-GNQGJ8-MHHGN#

第2章 Multisim9的基本分析方法 主要内容 ?直流工作点分析(DC Operating Point Analysis ) ?交流分析(AC Analysis) ?瞬态分析(Transient Analysis) ?傅立叶分析(Fourier Analysis) ?失真分析(Distortion Analysis) ?噪声分析(Noise Analysis) ?直流扫描分析(DC Sweep Analysis) ?参数扫描分析(Parameter Sweep Analysis) 直流工作点分析 直流工作点分析也称静态工作点分析,电路的直流分析是在电路中电容开路、电感短路时,计算电路的直流工作点,即在恒定激励条件下求电路的稳态值。 在电路工作时,无论是大信号还是小信号,都必须给半导体器件以正确的偏置,以便使其工作在所需的区域,这就是直流分析要解决的问题。了解电路的直流工作点,才能进一步分析电路在交流信号作用下电路能否正常工作。求解电路的直流工作点在电路分析过程中是至关重要的。 2.1.1构造电路

为了分析电路的交流信号是否能正常放大,必须了解电路的直流工作点设置得是否合理,所以首先应对电路得直流工作点进行分析。在Multisim9工作区构造一个单管放大电路,电路中电源电压、各电阻和电容取值如图所示。 注意:图中的1,2,3,4,5等编号可以从Options---sheet properties—circuit—show all 调试出来。 执行菜单命令(仿真)Simulate/(分析)Analyses,在列出的可操作分析类型中选择DC Operating Point,则出现直流工作点分析对话框,如图A所示。直流工作点分析对话框B。 1. Output 选项 Output用于选定需要分析的节点。 左边Variables in circuit 栏内列出电路中各节点电压变量和流过电源的电流变量。右边Selected variables for 栏用于存放需要分析的节点。 具体做法是先在左边Variables in circuit 栏内中选中需要分析的变量(可以通过鼠标拖拉进行全选),再单击Add按钮,相应变量则会出现在Selected variables for 栏中。如果Selected variables for 栏中的某个变量不需要分析,则先选中它,然后点击Remove按钮,该变量将会回到左边Variables in circuit 栏中。 Options 和Summary选项表示:分析的参数设置和Summary页中排列了该分析所设置的所有参数和选项。用户通过检查可以确认这些参数的设置。 2.1.3 检查测试结果 点击B图下部Simulate按钮,测试结果如图所示。测试结果给出电路各个节点的电压值。根据这些电压的大小,可以确定该电路的静态工作点是否合理。如果不合理,可以

数字时钟的Multisim设计与仿真

电子电路 设计和仿真 Multisim 学院: 专业和班级: 姓名:学号: 数字时钟的Multisim 设计和仿真 一、设计和仿真要求 学习综合数字电子电路的设计、实现和调试 1.设计一个24或12小时制的数字时钟。 2.要求:计时、显示精确到秒;有校时功能。采用中小规模集成电路设计。 3.发挥:增加闹钟功能。 二、总体设计和电路框图 1.设计思路 1).由秒时钟信号发生器、计时电路和校时电路构成电路。 2).秒时钟信号发生器可由555定时器构成。 3).计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。 4).校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。2.电路框图

二、子模块具体设计 1.由555定时器构成的1Hz 秒时钟信号发生器。 由下面的电路图产生1Hz 的脉冲信号作为总电路的初输入时钟脉冲 图2.时钟信号发生电路 2. 分、秒计时电路及显示部分 -VC K ? OTT - ? THR ? T£L1 - O0&I H L : ? r GND ,,, 48kQ R2 48kQ —10uF 士伯 DtiF ....... ■ ■ j - ■ ■ >100Q

在数字钟的控制电路中,分和秒的控制都是一样的,都是由一个十进制计数器和一个六进制计数器串联而成的,在电路的设计中我采用的是统一的器件74LS160D 的反馈置数法来实现十进制功能和六进制功能,根据74LS160D的 结构把输出端的0110 (十进制为6)用一个与非门74LS00引到CLR端便可置0,这样就实现了六进制计数。 由两片十进制同步加法计数器74LS160级联产生,采用的是异步清零法显示部分用的是七段数码管和两片译码器74LS48D 。 图3.分秒计时电路 3.时计时电路及显示部分 由两片十进制同步加法计数器74LS160级联产生,采用的是同步置数法, u1输出端为0011 (十进制为3)与u2输出端0010 (十进制为2)经过与非门接两片的置数端。 显示部分用的是七段数码管和两片译码器74LS48D 。

multisim电路仿真实验报告

模拟电子技术课程 multisim 仿真 一、目的 2.19 利用multisim 分析图P2.5所示电路中b R 、c R 和晶体管参数变化对Q 点、u A ? 、i R 、o R 和om U 的影响。 二、仿真电路 晶体管采用虚拟晶体管,12V C C V =。 1、当5c R k =Ω, 510b R k =Ω和1b R M =Ω时电路图如下(图1): 图 1 2、当510b R k =Ω,5c R k =Ω和10c R k =Ω时电路图如下(图2)

图 2 3、当1b R M =Ω时, 5c R k =Ω和10c R k =Ω时的电路图如下(图3) 图 3 4、当510b R k =Ω,5c R k =Ω时,β=80,和β=100时的电路图如下(图4)

图 4 三、仿真内容 1. 当5c R k =Ω时,分别测量510b R k =Ω和1b R M =Ω时的C E Q U 和u A ? 。由于输出电压很小,为1mV ,输出电压不失真,故可从万用表直流电压(为平均值)档读出静态管压降C E Q U 。从示波器可读出输出电压的峰值。 2. 当510b R k =Ω时,分别测量5c R k =Ω和10c R k =Ω时的C E Q U 和u A ? 。 3. 当1b R M =Ω时,分别测量5c R k =Ω和10c R k =Ω时的C E Q U 和u A ? 。 4. 当510b R k =Ω,5c R k =Ω时,分别测量β=80,和β=100时的C E Q U 和u A ? 。 四、仿真结果 1、当5c R k =Ω,510b R k =Ω和1b R M =Ω时的C E Q U 和u A ? 仿真结果如下表(表1 仿真数据)

Multisim 10-正弦稳态交流电路仿真实验

暨南大学本科实验报告专用纸 课程名称电路分析CAI 成绩评定 实验项目名称正弦稳态交流电路仿真实验指导教师 实验项目编号05实验项目类型验证型实验地点计算机中心C305 学生姓学号 学院电气信息学院专业实验时间 2013 年5月28日 一、实验目的 1.分析和验证欧姆定律的相量形式和相量法。 2.分析和验证基尔霍夫定律的相量形式和相量法。 二、实验环境定律 1.联想微机,windows XP,Microsoft office, 2.电路仿真设计工具Multisim10 三、实验原理 1在线性电路中,当电路的激励源是正弦电流(或电压)时,电路的响应也是同频的正弦向量,称为正弦稳态电路。正弦稳态电路中的KCL和KVL适用于所有的瞬时值和向量形式。 2.基尔霍夫电流定律(KCL)的向量模式为:具有相同频率的正弦电流电路中的任一结点,流出该结点的全部支路电流向量的代数和等于零。 3. 基尔霍夫电压定律(KVL)的向量模式为:具有相同频率的正弦电流电路中的任一回路,沿该回路全部的支路电压向量的代数和等于零。 四、实验内容与步骤 1. 欧姆定律相量形式仿真 ①在Multisim 10中,搭建如图(1)所示正弦稳态交流实 验电路图。打开仿真开关,用示波器经行仿真测量,分别测

量电阻R、电感L、电容C两端的电压幅值,并用电流表测 出电路电流,记录数据于下表 ②改变电路参数进行测试。电路元件R、L和C参数不变, 使电源电压有效值不变使其频率分别为f=25Hz和f=1kHz 参照①仿真测试方法,对分别对参数改变后的电路进行相同 内容的仿真测试。 ③将三次测试结果数据整理记录,总结分析比较电路电源频 率参数变化后对电路特性影响,研究、分析和验证欧姆定律 相量形式和相量法。 暨南大学本科实验报告专用纸(附页) 欧姆定律向量形式数据 V Rm/V V Lm/V V Cm/V I/mA 理论计算值 仿真值(f=50Hz) 理论计算值 仿真值(f=25Hz) 理论计算值 仿真值(f=1kHz) 2.基尔霍夫电压定律向量形式 在Multisim10中建立如图(2)所示仿真电路图。 打开仿真开关,用并接在各元件两端的电压表经行 仿真测量,分别测出电阻R、电感L、电容C两端 的电压值。用窜连在电路中的电流表测出电路中流 过的电流I,将测的数记录在下表。 ②改变电路参数进行测试。电路元件R=300Ω、L=

Multisim实验报告

实验一 单级放大电路 一、实验目得 1、 熟悉m ultisi m软件得使用方法 2、 掌握放大器静态工作点得仿真方法及其对放大器性能得影响 3、 学习放大器静态工作点、放大电压倍数、输入电阻、输出电阻得仿真方法,了解共射极电 路得特性 二、虚拟实验仪器及器材 双踪示波器、信号发生器、交流毫伏表、数字万用表 三、实验步骤 4、 静态数据仿真 电路图如下: 当滑动变阻器阻值为最大值得10%时,万用表示数为2、204V 。 R151kΩ R25.1kΩR320kΩ R41.8kΩ R5 100kΩ Key=A 10 % R61.5kΩ V110mVrms 1000 Hz 0° C110μF C210μF C347μF 2Q1 2N2222A 3 R7 100Ω8 1 5 64XMM1 7

仿真得到三处节点电压如下: 仿真数据(对地数据)单位:V 计算数据 单位:V 基极V(3) 集电极V(6) 发射级V(7) Vb e V ce Rp 2。83387 6、12673 2。20436 0.6295 1 3。92237 10K Ω 5、 动态仿真一 (1)单击仪器表工具栏中得第四个(即示波器Oscilloscope),放置如图所示,并且连接电路。 (注意:示波器分为两个通道,每个通道有+与-,连接时只需要连接+即可,示波器默认得地已经接好。观察波形图时会出现不知道哪个波形就是哪个通道得,解决方法就是更改连接得导线颜色,即:右键单击导线,弹出,单击wire col or,可以更改颜色,同时示波器中波形颜色也随之改变) (2)右键V 1,出现pro per ties,单击,出现 R151kΩ R25.1kΩR3 20kΩ R41.8kΩ R5 100kΩ Key=A 10 % V110mVrms 1000 Hz 0° V212 V C110μF C210μF C347μF 2Q1 2N2222A 3 R7100Ω8 1 XSC1 A B Ext Trig + + _ _ + _ 746R61.5kΩ 5

模电multisim仿真设计

模拟电子技术基础课程设计说明书题目: Multisim仿真应用 学生:明 学号:1 院(系):理学院 专业:应用物理学 指导教师:冠强

2014 年 6 月 10日

目录 第0节背景 (1) 第1节Multisim应用举例——二极管的特性的研究 (1) 第2节 Multisim应用举例——Rb变化对Q点和电压放大倍数的影响 (2) 第3节 Multisim应用举例——直接耦合多级放大电路的调试 (4) 第4节 Multisim应用举例——消除互补输出级交越失真方法的研究 (6) 第5节 Multisim应用举例——静态工作点稳定电路频率影响的研究 (8) 第6节 Multisim应用举例——交流负反馈对放大倍数稳定性的影响 (10) 设计体会及今后改进意见 (12) 参考文献 (12)

第0节背景 Multisim是一个完整的设计工具系统,提供了一个非常大的元件数据库,并提供原理图输入接口、全部的数模Spice仿真功能、VHDL设计接口与仿真功能、 FPGA/CPLD综合、RF设计能力和后处理功能还可以进行从原理图到PCB布线工具包(如:Ultiboard)的无缝隙数据传输。 随着计算机的飞速发展,以计算机辅助设计为基础的电子设计自动化技术(EDA)已经成为电子学领 域的重要学科。EDA工具使电子电路和电子系统的设计产生了革命性的变化,它摒弃了靠硬件调试 来大道设计目标的繁琐过程,实现了硬件设计软件化。 Multisim具有齐全的元器件模型参数库和比较齐全的仪器仪表库,可模拟实验室的操作进行 各种实验。学习Multisim可以提高仿真能力、综合能力和设计能力,还可进一步提高实践能力。 第1节Multisim应用举例——二极管的特性的研究 1.1 题目 研究二极管对直流量和交流量表现的不同特点。 1.2 仿真电路 仿真电路如图1-1所示。因为只有在低频小信号下二极管才能等效成一个电阻所以图流信号的频率为1kHz、数值为10mV(有效值)。由于交流信号很小,输出电压不失真故可以认为直流电压表(测平均值)的读书是电阻上直流电压值。

Multisim基本操作

XXXX学院–物理与电子信息工程学院 实验报告 实验班级: 课程名称: 专业实训 实验名称: Multisim基本操作 指导教师: 实验日期: 2017.12.10 姓名: 学号:

实训目的 学会使用Multisim进行基本电路操作 实训内容 一、基本操作 1、菜单栏 菜单栏中有以下常用选择 File中有以下主要文件操作: New新建文件,Open打开文件,Close关闭文件,Close all关闭所有文件,Save保存文件,Save As另存文件,Print打印文件,Print Setup打印设置和Exit退出等。 Edit中常用的编辑操作有:undo撤退,redo前进,cut剪切,copy复制,paste粘贴,这些操作也可以在工具栏内快速选择。 View中常用的操作有:zoom in放大电路,zoom out缩小电路,zoom area以100%的比率来显示电路等。 Place中常用的放置操作有:component放置元器件,bus总线,text放置文字等。这些选择在工具栏内也有快捷选项。 Simulate中常用的仿真操作有:run运行,pause暂停,这些在工具栏内可直接操作。以及analyses仿真方法选择,Instruments 仪表选择,在仪表栏内可快速找到。 Reports中常用的报告操作有:bill of materials电路图使用器件报告,可以获取详细的所需器件列表,方便购买等。 Options中常用的常用的操作有:sheet properties选项中的主

要操作有circuit电路背景设置,workspace纸张大小设置,wiring 电线宽度设置,font字体设置等。 Window中常用的操作有:new window新窗口。 Help中常用的常用的操作有:multisim help可以查找关于软件的一些问题。 其他的像MCU 、Transfer以及Tools我们很少用到。 2、工具栏 主要的操作是元器件的选择,以及一些快捷操作,例如放大(缩小)页面,电路运行以及停止,文字输入(直接在电路工作区输入文字或者在文本描述框输入文字)等等。

模拟电子线路multisim仿真实验报告

MULTISIM 仿真实验报告 实验一单级放大电路 一、实验目的 1、熟悉multisim软件的使用方法 2、掌握放大器的静态工作点的仿真方法,及对放大器性能的影响。 3、学习放大器静态工作点、电压放大倍数,输入电阻、输出电阻的仿真方法,了

解共射级电路的特性。 二、虚拟实验仪器及器材 双踪示波器信号发生器交流毫伏表数字万用表 三、实验步骤 1.仿真电路图 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 1 R7 5.1kΩ 9 XMM1 6 E级对地电压25.静态数据仿真

仿真数据(对地数据)单位;V计算数据单位;V 基级集电极发射级Vbe Vce RP 10k 26.动态仿真一 1.单击仪表工具栏的第四个,放置如图,并连接电路。 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 R7 5.1kΩ XSC1 A B Ext Trig + + _ _+_ 6 1 9

2.双击示波器,得到如下波形 5.他们的相位相差180度。 27.动态仿真二 1.删除负载电阻R6 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 XSC1 A B Ext Trig + + _ _+_ 6 1 9 2.重启仿真。

实验1:电路仿真工具Multisim的基本应用

实验一电路仿真工具Multisim的基本应用 一.实验目的 1.学会电路仿真工具Multisim的基本操作。 2.掌握电路图编辑法,用Multisim对电路进行仿真。 二、实验仪器 PC机、Multisim软件 三、实验原理 MultiSim 7 软件是加拿大Electronics Workbench 公司推出的用于电子电路仿真的虚拟电子工作台软件。它可以对模拟电路、数字电路或混合电路进行仿真。该软件的特点是采用直观的图形界面,在计算机屏幕上模仿真实实验室的工作台,用屏幕抓取的方式选用元器件,创建电路,连接测量仪器。软件仪器的控制面板外形和操作方式都与实物相似,可以实时显示测量结果。 1. Multisim 7主窗口 2. 常用Multisim7 设计工具栏 元件编辑器按钮--用以增加元件仿真按钮--用以开始、暂停或结束电路仿真。 分析图表按钮--用于显示分析后的图表结果分析按钮--用以选择要进行的分析。 3.元件工具栏(主窗口左边两列) 其中右边一列绿色的为常用元器件(且为理想模型)。左边一列包含了所有元器件(包括理想模型和类实际元器件模型)。在电路分析实验中常用到的器件组包括以下三个组(主界面左边第二列): 电源组信号源基本器件组

(1)电源(点击电源组) 交流电源直流电源接地 (2)基本信号源 交流电流源交流电压源 (3)基本元器件(点击基本器件组) 电感电位器电阻可变电容电容 4.常用虚拟仪器(主窗口右侧一列) ⑴数字万用表 数字万用表的量程可以自动调整。双击虚拟仪器可进行参数设定。下图是其图标和面板: 其电压、电流档的内阻,电阻档的电流和分贝档的标准电压值都可以任意设置。从打开的面板上选Setting按钮可以设置其参数。 (2)信号发生器 信号发生器可以产生正弦、三角波和方波信号,其图标和面板如下图所示。可调节方波和三角波的占空比。双击虚拟仪器可进行参数设定。 (3)示波器 在Multisim 7中提供了两种示波器:通用双踪示波器和4通道示波器。双击虚拟仪器可进行参数设定。这里仅介绍通用双踪示波器。其图标和面板如下图所示。

模拟电子技术课程设计(Multisim仿真)

《电子技术Ⅱ课程设计》 报告 姓名 xxx 学号 院系自动控制与机械工程学院 班级 指导教师 2014 年 6 月18日

目录 1、目的和意义 (3) 2、任务和要求 (3) 3、基础性电路的Multisim仿真 (4) 3.1 半导体器件的Multisim仿真 (4) 3.11仿真 (4) 3.12结果分析 (4) 3.2单管共射放大电路的Multisim仿真 (5) 3.21理论计算 (7) 3.21仿真 (7) 3.23结果分析 (8) 3.3差分放大电路的Multisim仿真 (8) 3.31理论计算 (9) 3.32仿真 (9) 3.33结果分析 (9) 3.4两级反馈放大电路的Multisim仿真 (9) 3.41理论分析 (11) 3.42仿真 (12) 3.5集成运算放大电路的Multisim仿真(积分电路) (12) 3.51理论分析 (13) 3.52仿真 (14) 3.6波形发生电路的Multisim仿真(三角波与方波发生器) (14) 3.61理论分析 (14) 3.62仿真 (14) 4.无源滤波器的设计 (14) 5.总结 (18) 6.参考文献 (19)

一、目的和意义 该课程设计是在完成《电子技术2》的理论教学之后安排的一个实践教学环节.课程设计的目的是让学生掌握电子电路计算机辅助分析与设计的基本知识和基本方法,培养学生的综合知识应用能力和实践能力,为今后从事本专业相关工程技术工作打下基础。这一环节有利于培养学生分析问题,解决问题的能力,提高学生全局考虑问题、应用课程知识的能力,对培养和造就应用型工程技术人才将能起到较大的促进作用。 二、任务和要求 本次课程设计的任务是在教师的指导下,学习Multisim仿真软件的使用方法,分析和设计完成电路的设计和仿真。完成该次课程设计后,学生应该达到以下要求: 1、巩固和加深对《电子技术2》课程知识的理解; 2、会根据课题需要选学参考书籍、查阅手册和文献资料; 3、掌握仿真软件Multisim的使用方法; 4、掌握简单模拟电路的设计、仿真方法; 5、按课程设计任务书的要求撰写课程设计报告,课程设计报告能正确反映设计和仿真结果。

Multisim数字电路仿真实验报告

基于Multisim数字电路仿真实验 一、实验目的 1.掌握虚拟仪器库中关于测试数字电路仪器的使用方法,入网数字信号发生器和逻辑分析仪的使用。 2.进一步了解Multisim仿真软件基本操作和分析方法。 二、实验内容 用数字信号发生器和逻辑分析仪测试74LS138译码器逻辑功能。 三、实验原理 实验原理图如图所示: 四、实验步骤 1.在Multisim软件中选择逻辑分析仪,字发生器和74LS138译码器; 2.数字信号发生器接138译码器地址端,逻辑分析仪接138译码器输出端。并按规定连好译码器的其他端口。 3.点击字发生器,控制方式为循环,设置为加计数,频率设为1KHz,并设置显

示为二进制;点击逻辑分析仪设置频率为1KHz。相关设置如下图 五、实验数据及结果 逻辑分析仪显示图下图

实验结果分析:由逻辑分析仪可以看到在同一个时序74LS138译码器的八个输出端口只有一个输出为低电平,其余为高电平.结合字发生器的输入,可知.在译码器的G1=1,G2A=0,G2B=0的情况下,输出与输入的关系如下表所示

当G1=1,G2A=0,G2B=0中任何一个输入不满足时,八个输出都为1 六、实验总结 通过本次实验,对Multisim的基本操作方法有了一个简单的了解。同时分析了38译码器的功能,结果与我们在数字电路中学到的结论完全一致。 实验二基于Multisim的仪器放大器设计 一、实验目的 1.掌握仪器放大器的实际方法; 2.理解仪器放大器对共模信号的抑制能力; 3.熟悉仪器放大器的调试方法; 4.掌握虚拟仪器库中关于测试模拟电路仪器的使用方法,如示波器、毫伏表、信号发生器等虚拟仪器的使用方法。

multisim实验四实验报告

仲恺农业工程学院实验报告纸 __自动化学院_(院、系)__工业自动化__专业__144_班_电子线路计算机仿真课程 实验四:触发器及其应用仿真实验 一、实验目的 1.掌握集成JK触发器和D触发器的逻辑功能及其使用方法。 2.熟悉触发器之间相互转换的设计方法。 3.熟悉Multisim中逻辑分析仪的使用方法。 二、实验设备 PC机、Multisim仿真软件。 三、实验内容 1.双JK触发器74LS112逻辑功能测试 (1)创建电路 创建如下图所示电路,并设置电路参数。 图4-1 74LS112逻辑功能测试

(2)仿真测试 ①J1和J5分别74LS112的异步复位端输入,J2和J4分别为J、K数据端输入,J3为时钟端输入,X1和X2指示74LS112的输出端Q和Q_的状态。 ②异步置位和异步复位功能测试。 闭合仿真开关 拨动J1为“0”、J5为“1”,其他开关无论为何值,则74LS112被异步置“1”,指示灯X1亮,X2灭。理解异步置位的功能。 拨动J1为“1”、J5为“0”,其他开关无论为何值,则74LS112被异步清“0”,指示灯X1灭,X2灭,理解异步复位的功能。 ③74LS112逻辑功能测试 首先拨动J1和J5,设定触发器的初态。 接着,拨动J1和J5均为“1”,使74LS112处于触发器工作状态。 然后,拨动J2-J4,观察指示灯X1和X2亮灭的变化,尤其注意观察指示灯令亮灭变化发生的时刻,即J3由“1”到“0”变化的时刻,从而掌握下降沿触发的集成边沿JK触发器的逻辑功能。如下图所示: 图4-2 JK触发器逻辑功能测试

设定触发器的初态为Q = 1。将J2置1后,再将J3置1,可以观察到此时触发器状态并无改变。 将J3清0,观察到输出Q = 1。同样的,将J2清0,同时将J4置1,在J3由1->0的时刻,可以观察到Q = 0。 2.JK触发器构成T触发器 (1)创建电路 创建如图所示电路,并设置电路参数。 图4-3 74LS112构成T触发器 (2)仿真测试 ①闭合仿真开关。 ②打开示波器窗口,如图所示。 示波器窗口从上到下同时显示三个波形,即时钟输入信号(A通道)、Q端输出信号(B通道)及Q端输出信号(C通道)。由读数指针T1所在位置看出:当时钟输入信号下降沿到来时,触发器输出状态翻转,即Q由“0”变“1”,同时Q由“1”变“0”;由读数指针T2所在位置看出:当时钟输入信号上升沿到来时,触发器输出状态不变,即Q保持“1”,Q保持“0”。所以,每当时钟输入信号下降沿到来时,Q的状态就翻转,实现了下降沿触发的边沿T触发器的功能,同时也是二分频电路。

Multisim14仿真设计流程

Multisim 14仿真设计流程 用一个案例(模拟小信号放大及数字计数电路)来演示 Multisim 仿真大体流程,这个案例来自Multisim 软件自带 Samples,Multsim 也有对应的入门文档(Getting Started)。只要用户安装了Multsim 软件,就会有这样的一个工程在软件里,这样就不需要再四处搜索案例来学习。 执行菜单【File】→【Open samples…】即可弹出“打开文件”对话框,从中找到“Getting Started” 下的“Getting Started Final”(Final 为最终完成的仿真文件)打开即可。 此案例的难度与复杂度都不高,因为过于复杂的电路会让 Multisim 仿真初学者精力过于分散,难以从宏观上把握 Multisim 电路仿真设计流程。在这个案例中,我们对于 Multisim 软件的使用操作(如调用元器件、连接元器件、编辑参数、运行仿真)都会做尽量详细的描述,以期达到尽快让新手熟悉 Multisim 目的,这也是为更简要阐述后续案例打基础。 本书在行文时描述的 Multisim 步骤操作,均使用菜单方式,事实上,大多数操作可以直接使用工具栏上的快捷按钮,读者可自行熟悉,执行的结果与菜单操作都是一致的 1 电路原理 我们将要完成的仿真电路如下图所示:

2 一切不以原理为基础的仿真都是耍流氓,所以这里我们简要阐述一下原理:以 U4-741 运算放大 器为核心构成的同相比例放大器,对来自 V1 的交流信号进行放大(其中,R4 为可调电阻,可对放大 倍数进行调整)。放大后的信号,一路送入示波器进行观测,另一路作为时钟脉冲信号送入 U2-74LS190N(可预置同步 BCD 十进制加减法计数器)进行计数,计数结果输出为十进制,经 U3-74LS47N(BCD-七段数码管译码器)译码后驱动七段数码管进行数字显示。另外 U2-74LS190N 配置为 加法器,同时将行波时钟输出第 13 脚(RCO)驱动发光二极管。 左下区域有两个单刀双掷开关进行计数控制,S1 接到 U2 的第 4 脚(CTEN)计数使能控制引脚,低有效,当 S1 切换到接地(GND)时,计数才开始,否则计数停止;S2 接到 U2 的第 11 脚(LOAD),也是低有效,当 S2 切换到接地(GND)时,就把预置数(ABCD)赋给(Q A Q B Q C Q D),这里电路配置的(ABCD)都是接地(GND),因此相当于 S2 开关为清零功能。 右上区域还有三个旁路电路,左侧的插座与仿真没有关系。 新建仿真文件 1、首先我们打开 Multsim 软件,如下图所示,默认有一个名为 Design1 的空白文件已经打开在 工作台(WorkSpace)中。

multisim 电路仿真 课程设计

4.1 仿真设计 1、用网孔法和节点法求解电路。 如图4.1-1所示电路: 3Ω (a)用网孔电流法计算电压u的理论值。 (b)利用multisim进行电路仿真,用虚拟仪表验证计算结果。(c)用节点电位法计算电流i的理论值。 (d)用虚拟仪表验证计算结果。 解: 电路图: (a) i1=2 解得 i1=2 5i2-31-i3=2 i2=1 i3=-3 i3=-3 u=2 v (b)如图所示: (c)列出方程 4/3 U1- U2=2 解得 U1=3 v U2=2 v 2A1Ω _ + 1Ω 2V - 3A 图4.1-1 i

2U 1- U 2=2 i=1 A 结果:计算结果与电路仿真结果一致。 结论分析:理论值与仿真软件的结果一致。 2、叠加定理和齐次定理的验证。 如图4.1-2所示电路: (a)使用叠加定理求解电压u 的理论值; (b)利用multisim 进行电路仿真,验证叠加定理。 (c)如果电路中的电压源扩大为原来的3倍,电流源扩大为原来的2倍,使用齐次定理,计算此时的电压u ; (d)利用multisim 对(c )进行电路仿真,验证齐次定理。 电路图: (a ) I 1=2 7 I 2-2 I 1- I 3=0 3 I 3- I 2-2 I 4=0 解得 U 1=7(V ) I 4=-3 U 1 U 1=2(I 1- I 2) 如图所示电压源单独作用时根据网孔法列方程得: 3 I 1-2 I 2- I 3= 4 I 2=-3 U 2 7 I 3 - I 1=0 解得 U 2=9(V ) U 2=4-2 I 3 所以 U= U 1+ U 2=16(V ) (b )如图所示。 2Ω 1Ω 2Ω 4Ω 2A 3u + 4V - + u - 图4.1-2

相关主题
文本预览
相关文档 最新文档