当前位置:文档之家› 模拟电子线路multisim仿真实验报告

模拟电子线路multisim仿真实验报告

模拟电子线路multisim仿真实验报告
模拟电子线路multisim仿真实验报告

MULTISIM 仿真实验报告

实验一单级放大电路

一、实验目的

1、熟悉multisim软件的使用方法

2、掌握放大器的静态工作点的仿真方法,及对放大器性能的影响。

3、学习放大器静态工作点、电压放大倍数,输入电阻、输出电阻的仿真方法,了解共

射级电路的特性。

二、虚拟实验仪器及器材

双踪示波器信号发生器交流毫伏表数字万用表

E级对地电压

25.静态数据仿真

2.双击示波器,得到如下波形

28.仿真动态三

1.测量输入端电阻。

在输入端串联一个5.1k的电阻,并连接一个万用表,启动仿真,记录数据,填入表格。

测量数据为VO

双踪示波器信号发生器交流毫伏表数字万用表三、实验步骤

1实验电路图如图所示;

10.单击工具栏,使出现如下数据。

11.更改电路图如下

17思考与练习。

1.创建整流电路,并仿真,观察波形。

XSC1

2.

由以上仿真实验知道,射级跟随器的放大倍数很大,且输入输出电压相位相反,输入和输出电阻也很大,多用于信号的放大。

实验三:负反馈放大电路

一、实验目的:

1、熟悉Multisim软件的使用方法

2、掌握负反馈放大电路对放大器性能的影响

3、学习负反馈放大器静态工作点、电压放大倍数、输入电阻、输出电阻的开环和闭环

仿真方法。

4、学习掌握Multisim交流分析

5、学会开关元件的使用

二、虚拟实验仪器及器材

双踪示波器信号发生器交流毫安表数字万用表

三、实验步骤

1、启动Multisim,并画出如下电路

6、负反馈对失真的改善

开环闭环

如下所示,输入电路

填表二:

实验五OTL功率放大器

一、实验目的

1、熟悉Multisim软件的使用方法。

2、掌握理解功率放大器的功作原理。

3、掌握功率放大器的电路指标测试方法。

二、虚拟实验仪器及器材

双踪示波器、信号发生器、交流毫伏表、数字万用表等仪器、晶体三极管2N3906,2N3904,1N3064等。

三、实验步骤

如下图所示连接电路:

填表:

四、思考题

功率放大电路效率高。

实验六集成运算放大器的测量

一、实验目的

1、熟悉multisim软件的使用方法。

2、掌握理解集成运算放大器的工作原理。

3、掌握集成运算放大电路的基本运算关系及基本运算方法。

二、虚拟实验仪器及器材

双踪示波器、信号发生器、交流毫伏表、数字万用表等仪器、集成电路741

三、实验原理与步骤

1、仿真电路如下:

2、静态测试,记录集成电路的各管脚直流电压

通过插入万能表,经测量显示。

2号管脚电压为483.224微伏,3号管脚电压为-546.52微伏,7号管脚电压为14V,4号管脚电压为-14V,6号管脚电压为12.347mV。

3、最大功率测试

4、频率响应测试

5、输出波形观察

输出波形如图:

6、放大倍数测量

经测量,Ui=1mV,Uo=10.998mV,所以Av=Uo/Ui=10.998

实验七波形发生器应用的测量

Multisim三相电路仿真实验

实验六 三相电路仿真实验 一、实验目的 1、 熟练运用Multisim 正确连接电路,对不同联接情况进行仿真; 2、 对称负载和非对称负载电压电流的测量,并能根据测量数据进行分析总结; 3、 加深对三相四线制供电系统中性线作用的理解。 4、 掌握示波器的连接及仿真使用方法。 5、 进一步提高分析、判断和查找故障的能力。 二、实验仪器 1.PC 机一台 2.Multisim 软件开发系统一套 三、实验要求 1.绘制出三相交流电源的连接及波形观察 2.学习示波器的使用及设置。 3.仿真分析三相电路的相关内容。 4.掌握三瓦法测试及二瓦法测试方法 四、原理与说明 1、负载应作星形联接时,三相负载的额定电压等于电源的相电压。这种联接方式的 特点是三相负载的末端连在一起,而始端分别接到电源的三根相线上。 2、负载应作三角形联接时,三相负载的额定电压等于电源的线电压。这种联接方式的特点是三相负载的始端和末端依次联接,然后将三个联接点分别接至电源的三根相线上。 3、电流、电压的“线量”与“相量”关系 测量电流与电压的线量与相量关系,是在对称负载的条件下进行的。画仿真图时要注意。 负载对称星形联接时,线量与相量的关系为: (1) P L U U 3= (2)P L I I = 负载对称三角形联接时,线量与相量的关系为: (1)P L U U = (2)P L I I 3= 4、星形联接时中性线的作用 三相四线制负载对称时中性线上无电流,不对称时中性线上有电流。中性线的作用是能将三相电源及负载变成三个独立回路,保证在负载不对称时仍能获得对称的相电压。

如果中性线断开,这时线电压仍然对称,但每相负载原先所承受的对称相电压被破坏,各相负载承受的相电压高低不一,有的可能会造成欠压,有的可能会过载。 五、实验内容及参考实验步骤 (一)、建立三相测试电路如下: 图1 三相负载星形联接实验电路图 1.接入示波器:测量ABC三相电压波形。并在下表中绘出图形。 Timebase:_________/DIV 三相电压相位差:φ=__________。 (二)、三相对称星形负载的电压、电流测量 (1)使用Multisim软件绘制电路图1,图中相电压有效值为220V。 (2)正确接入电压表和电流表,J1打开,J2 、J3闭合,测量对称星形负载在三相四线制(有中性线)时各线电压、相电压、相(线)电流和中性线电流、中性点位移电压。记入表1中。 (3)打开开关J2,测量对称星形负载在三相三线制(无中性线)时电压、相电压、相(线)电流、中性线电流和中性点位移电压,记入表1中。 表1 三相对称星形负载的电压、电流 (4)根据测量数据分析三相对称星形负载联接时电压、电流“线量”与“相量”的关系。 结论: (三)、三相不对称星形负载的电压、电流测量 (1)正确接入电压表和电流表,J1闭合,J2 、J3闭合,测量不对称星形负载在三相

模拟电路_Multisim软件仿真教程

第13章Multisim模拟电路仿真本章Multisim10电路仿真软件, 本章节讲解使用Multisim进行模拟电路仿真的基本方法。 目录 1. Multisim软件入门 2. 二极管电路 3. 基本放大电路 4. 差分放大电路 5. 负反馈放大电路 6. 集成运放信号运算和处理电路 7. 互补对称(OCL)功率放大电路 8. 信号产生和转换电路 9. 可调式三端集成直流稳压电源电路 13.1 Multisim用户界面及基本操作 13.1.1 Multisim用户界面 在众多的EDA仿真软件中,Multisim软件界面友好、功能强大、易学易用,受到电类设计开发人员的青睐。Multisim用软件方法虚拟电子元器件及仪器仪表,将元器件和仪器集合为一体,是原理图设计、电路测试的虚拟仿真软件。 Multisim来源于加拿大图像交互技术公司(Interactive Image Technologies,简称IIT公司)推出的以Windows为基础的仿真工具,原名EWB。 IIT公司于1988年推出一个用于电子电路仿真和设计的EDA工具软件Electronics Work Bench(电子工作台,简称EWB),以界面形象直观、操作方便、分析功能强大、易学易用而得到迅速推广使用。 1996年IIT推出了EWB5.0版本,在EWB5.x版本之后,从EWB6.0版本开始,IIT对EWB进行了较大变动,名称改为Multisim(多功能仿真软件)。 IIT后被美国国家仪器(NI,National Instruments)公司收购,软件更名为NI Multisim,Multisim经历了多个版本的升级,已经有Multisim2001、Multisim7、Multisim8、Multisim9 、Multisim10等版本,9版本之后增加了单片机和LabVIEW虚拟仪器的仿真和应用。 下面以Multisim10为例介绍其基本操作。图13.1-1是Multisim10的用户界面,包括菜单栏、标准工具栏、主工具栏、虚拟仪器工具栏、元器件工具栏、仿真按钮、状态栏、电路图编辑区等组成部分。

Multisim仿真实验报告

Multisim仿真实验报告 实验课程:数字电子技术 实验名称:Multisim仿真实验 姓名:戴梦婷 学号: 13291027 班级:电气1302班 2015年6月11日

实验一五人表决电路的设计 一、实验目的 1、掌握组合逻辑电路——五人表决电路的设计方法; 2、复习典型组合逻辑电路的工作原理和使用方法; 3、提高集成门电路的综合应用能力; 4、学会调试Multisim仿真软件,并实现五人表决电路功能。 二、实验器件 74LS151两片、74LS32一片、74LS04一片、单刀双掷开关5个、+5V直流电源1个、地线1根、信号灯1个、导线若干。 三、实验项目 设计一个五人表决电路。在三人及以上同意时输出信号灯亮,否则灯灭,用8选1数据选择器74LS151实现,通过Multisim仿真软件实现。 四、实验原理 1、输入变量:A B C D E,输出:F;

3、逻辑表达式 F= ABCDE+ABCDE+ABCDE+ABCDE+ ABCDE+ ABCDE+ABC DE+ABCDE+ ABCDE+ ABCDE+ABCDE+ABCDE+ ABCDE+ABCDE+ABCDE+ABCDE =ABCDE+ ABCDE+ABCDE+ ABCD+ABCDE+ABCDE+ABCD+ABCDE+ ABCD+ABCD+ABCD 4、对比16选1逻辑表达式,令A3=A,A2=B,A1=C,A0=D,D3=D5=D6=D9=D10=D12=E, D 7=D 11 =D 13 =D 14 =D 15 =1,D =D 1 =D 2 =D 4 =D 8 =0; 5、用74LS151拓展构成16选1数据选择器。 五、实验成果 用单刀双掷开关制成表决器,同意开关打到上线,否则打到下线。当无人同意时,信号指示灯不亮,如下图:

实验八multisim电路仿真

电子线路设计软件课程设计报告 实验内容:实验八multisim电路仿真 一、验目的 1、进一步熟悉multisim的操作和使用方法 2、掌握multisim做电路仿真的方法 3、能对multisim仿真出的结果做分析 二、仿真分析方法介绍 Multisim10为仿真电路提供了两种分析方法,即利用虚拟仪表观测电路的某项参数和利用Multisim10 提供的十几种分析工具,进行分析。常用的分析工具有:直流工作点分析、交流分析、瞬态分析、傅立叶分析、失真分析、噪声分析和直流扫描分析。利用这些分析工具,可以了解电路的基本状况、测量和分析电路的各种响应,且比用实际仪器测量的分析精度高、测量范围宽。下面将详细介绍常用基本分析方法的作用、分析过程的建立、分析对话框的使用以及测试结果的分析等内容 1、直流工作点分析 直流工作点分析也称静态工作点分析,电路的直流分析是在电路中电容开路、电感短路时,计算电路的直流工作点,即在恒定激励条件下求电路的稳态值。在电路工作时,无论是大信号还是小信号,都必须给半导体器件以正确的偏置,以便使其工作在所需的区域,这就是直流分析要解决的问题。了解电路的直流工作点,才能进一步分析电路在交流信号作用下电路能否正常工作。求解电路的直流工作点在电路分析过程中是至关重要的。 执行菜单命令Simulate/Analyses,在列出的可操作分析类型中选择DC Operating Point,则出现直流工作点分析对话框,如图所示。直流工作点分析对话框包括3页。

Output 页用于选定需要分析的节点。 左边Variables in circuit 栏内列出电路中各节点电压变量和流过电源的电流变量。右边Selected variables for 栏用于存放需要分析的节点。 具体做法是先在左边Variables in circuit 栏内中选中需要分析的变量(可以通过鼠标拖拉进行全选),再点击Plot during simulation 按钮,相应变量则会出现在Selected variables for 栏中。如果Selected variables for 栏中的某个变量不需要分析,则先选中它,然后点击Remove按钮,该变量将会回到左边Variables in circuit 栏中。Analysis Options页 点击Analysis Options按钮进入Analysis Options页,其中排列了与该分析有关的其它分析选项设置,通常应该采用默认的 Summary页

基于multisim的晶闸管交流电路仿真实验分析报告

基于multisim的晶闸管交流电路仿真实验报告

————————————————————————————————作者:————————————————————————————————日期:

自动化(院、系)自动化专业112 班组电力电子技术课 学号21 姓名易伟雄实验日期2013.11.24 教师评定 实验一、基于Multisim的晶闸管交流电路仿真实验 一、实验目的 (1)加深理解单相桥式半控整流电路的工作原理。 (2)了解晶闸管的导通条件和脉冲信号的参数设置。 二、实验内容 2.1理论分析 在单相桥式半控整流阻感负载电路中,假设负载中电感很大,且电路已工作于稳态。在u2正半周,触发角α处给晶闸管VT1加触发脉冲,u2经VT1和VD4向负载供电。u2过零变负时,因电感作用使电流连续,VT1继续导通。但因a点电位低于b点电位,使得电流从VD4转移至VD2,VD4关断,电流不再流经变压器二次绕组,而是由VT1和VD2续流。此阶段,忽略器件的通态压降,则ud=0,不会像全控桥电路那样出现ud为负的情况。 在u2负半周触发角α时刻触发VT3,VT3导通,则向VT1加反压使之关断,u2经VT3和VD2向负载供电。u2过零变正时,VD4导通,VD2关断。VT3和VD4续流,ud又为零。此后重复以上过程。 2.2仿真设计

(院、系)专业班组课学号姓名实验日期教师评定 触发脉冲的参数设计如下图

(院、系)专业班组课学号姓名实验日期教师评定 2.3仿真结果 当开关S1打开时,仿真结果如下图

(院、系)专业班组课学号姓名实验日期教师评定 三、实验小结与改进 此次实验在进行得过程中遇到了很多的问题,例如:触发脉冲参数的设置,元器件的选择等其中。还有一个问题一直困扰着我,那就是为什么仿真老是报错。后来,通过不断在实验中的调试发现,这是因为一些元器件的参数设置过小,导致调试出错。总的来说,这次实验发现了很多问题,但在反复的调试下,最后我还是完成了实验。同时,也让我认识到实践比理论更难掌握。通过不断的发现问题,然后逐一解决问题,最后得出自己的结论,我想实验的乐趣就在于此吧。 而对于当开关S1打开时的实验结果,这是因为出现了失控现象。我从书中发现:当一个晶闸管持续导通而二极管轮流导通的情况,这使ud成为正弦半波,即半周期ud 为正弦,另外半周期ud为零,其平均值保持恒定,相当于单相半波不可控整流电路时的波形 另外,在实验过程中,我们如果进行一些改进:电路在实际应用中可以加设续流二极管,以避免可能发生的失控现象。实际运行中,若无续流二极管,则当α突然增大至180度或触发脉冲丢失时,会发生一个晶闸管持续导通而二极管轮流导通的情况,这使ud成为正弦半,即半周期ud为正弦,另外半周期ud为零,其平均值保持恒定,相当于单相半波不可控整流电路时的波形。有二极管时,续流过程由二极管完成,在续流阶段晶闸管关断,这就避免了某一个晶闸管持续导通从而导致失控的想象。同时续流期间导电回路中只有一个管压降,少了一个管压降,有利于降低损耗。

Multisim数字电路仿真快速上手教程

Multisim快速上手教程 每一次数电实验都要疯了有木有!!!全是线!!!全是线!!!还都长得要命!!!完全没地方收拾啊!!!现在数电实验还要求做开放实验,还要求最好先仿真!!!从来没听说过仿真是个什么玩意儿的怎么破!!! 以下内容为本人使用仿真软件的一些心路历程,可供参考。 所谓仿真,以我的理解,就是利用计算机强大的计算能力,结合相应的电路原理(姑且理解为KVL+KCL)来对电路各时刻的状态求解然后输出的过程。相较于模拟电路,数字电路的仿真轻松许多,因为基本上都转化为逻辑关系的组合了。有人用minecraft来做数字电路,都到了做出8bitCPU的水平(https://www.doczj.com/doc/8f1774381.html,/v_show/id_XMjgwNzU5MDUy.html、https://www.doczj.com/doc/8f1774381.html,/v_show/id_XNjEwNTExODI4.html)。这个很神奇。 以下进入正文 首先,下载Multisim安装程序。具体链接就不再这里给出了(毕竟是和$蟹$版的软件),可以到BT站里搜索,有一个Multisim 12是我发的,里面有详细的安装说明,照着弄就没问题了。 好,现在已经安装上Multisim 12了。 然后运行,在Circuit Design Suite12.0里,有一个multisim,单击运行。 进去之后就是这样的。 那一大块白的地方就是可以放置元件的地方。 现在来以一个简单的数字逻辑电路为例:

菜单栏下一排是这些东西,划线的是数字电路仿真主要用得上的元件。 来个7400吧 点击TTL那个图标(就是圈里左边那个)。出来这样一个东西: 红圈里输入7400就出来了,也可以一个一个看,注意右边“函数”栏目下写的“QUAD 2-INPUT NAND”即是“四个双输入与非门”的意思。 点击确认,放置元件。 A、B、C、D在这里指一块7400里的四个双输入与非门,点击即可放置。 看起来很和谐,那就做个RS触发器吧。 这里输出用的是一种虚拟器件PROBE,在Indicators组,图标就是个数码管的那个。功能相当于实验箱上那些LED,也是高电平就点亮。元件旋转方向的方法是选中元件然后按Ctrl+R(otate)。还可以选中元件后点击右键,选择“水平翻转”等。

Multisim 10-正弦稳态交流电路仿真实验

暨南大学本科实验报告专用纸 课程名称电路分析CAI 成绩评定 实验项目名称正弦稳态交流电路仿真实验指导教师 实验项目编号05实验项目类型验证型实验地点计算机中心C305 学生姓学号 学院电气信息学院专业实验时间 2013 年5月28日 一、实验目的 1.分析和验证欧姆定律的相量形式和相量法。 2.分析和验证基尔霍夫定律的相量形式和相量法。 二、实验环境定律 1.联想微机,windows XP,Microsoft office, 2.电路仿真设计工具Multisim10 三、实验原理 1在线性电路中,当电路的激励源是正弦电流(或电压)时,电路的响应也是同频的正弦向量,称为正弦稳态电路。正弦稳态电路中的KCL和KVL适用于所有的瞬时值和向量形式。 2.基尔霍夫电流定律(KCL)的向量模式为:具有相同频率的正弦电流电路中的任一结点,流出该结点的全部支路电流向量的代数和等于零。 3. 基尔霍夫电压定律(KVL)的向量模式为:具有相同频率的正弦电流电路中的任一回路,沿该回路全部的支路电压向量的代数和等于零。 四、实验内容与步骤 1. 欧姆定律相量形式仿真 ①在Multisim 10中,搭建如图(1)所示正弦稳态交流实 验电路图。打开仿真开关,用示波器经行仿真测量,分别测

量电阻R、电感L、电容C两端的电压幅值,并用电流表测 出电路电流,记录数据于下表 ②改变电路参数进行测试。电路元件R、L和C参数不变, 使电源电压有效值不变使其频率分别为f=25Hz和f=1kHz 参照①仿真测试方法,对分别对参数改变后的电路进行相同 内容的仿真测试。 ③将三次测试结果数据整理记录,总结分析比较电路电源频 率参数变化后对电路特性影响,研究、分析和验证欧姆定律 相量形式和相量法。 暨南大学本科实验报告专用纸(附页) 欧姆定律向量形式数据 V Rm/V V Lm/V V Cm/V I/mA 理论计算值 仿真值(f=50Hz) 理论计算值 仿真值(f=25Hz) 理论计算值 仿真值(f=1kHz) 2.基尔霍夫电压定律向量形式 在Multisim10中建立如图(2)所示仿真电路图。 打开仿真开关,用并接在各元件两端的电压表经行 仿真测量,分别测出电阻R、电感L、电容C两端 的电压值。用窜连在电路中的电流表测出电路中流 过的电流I,将测的数记录在下表。 ②改变电路参数进行测试。电路元件R=300Ω、L=

multisim电路仿真实验报告

模拟电子技术课程 multisim 仿真 一、目的 2.19 利用multisim 分析图P2.5所示电路中b R 、c R 和晶体管参数变化对Q 点、u A ? 、i R 、o R 和om U 的影响。 二、仿真电路 晶体管采用虚拟晶体管,12V C C V =。 1、当5c R k =Ω, 510b R k =Ω和1b R M =Ω时电路图如下(图1): 图 1 2、当510b R k =Ω,5c R k =Ω和10c R k =Ω时电路图如下(图2)

图 2 3、当1b R M =Ω时, 5c R k =Ω和10c R k =Ω时的电路图如下(图3) 图 3 4、当510b R k =Ω,5c R k =Ω时,β=80,和β=100时的电路图如下(图4)

图 4 三、仿真内容 1. 当5c R k =Ω时,分别测量510b R k =Ω和1b R M =Ω时的C E Q U 和u A ? 。由于输出电压很小,为1mV ,输出电压不失真,故可从万用表直流电压(为平均值)档读出静态管压降C E Q U 。从示波器可读出输出电压的峰值。 2. 当510b R k =Ω时,分别测量5c R k =Ω和10c R k =Ω时的C E Q U 和u A ? 。 3. 当1b R M =Ω时,分别测量5c R k =Ω和10c R k =Ω时的C E Q U 和u A ? 。 4. 当510b R k =Ω,5c R k =Ω时,分别测量β=80,和β=100时的C E Q U 和u A ? 。 四、仿真结果 1、当5c R k =Ω,510b R k =Ω和1b R M =Ω时的C E Q U 和u A ? 仿真结果如下表(表1 仿真数据)

最新模拟电子电路multisim仿真(很全 很好)资料

仿真 1.1.1 共射极基本放大电路 按图7.1-1搭建共射极基本放大电路,选择电路菜单电路图选项(Circuit/Schematic Option )中的显示/隐藏(Show/Hide)按钮,设置并显示元件的标号与数值等 。 1.静态工作点分析 选择分析菜单中的直流工作点分析选项(Analysis/DC Operating Point)(当然,也可以使用仪器库中的数字多用表直接测量)分析结果表明晶体管Q1工作在放大状态。 2.动态分析 用仪器库的函数发生器为电路提供正弦输入信号Vi(幅值为5mV,频率为10kH),用示波器观察到输入,输出波形。由波形图可观察到电路的输入,输出电压信号反相位关系。再一种直接测量电压放大倍数的简便方法是用仪器库中的数字多用表直接测得。 3.参数扫描分析 在图7.1-1所示的共射极基本放大电路中,偏置电阻R1的阻值大小直接决定了静态电流IC的大小,保持输入信号不变,改变R1的阻值,可以观察到输出电压波形的失真情况。选择分析菜单中的参数扫描选项(Analysis/Parameter Sweep Analysis),在参数扫描设置对话框中将扫描元件设为R1,参数为电阻,扫描起始值为100K,终值为900K,扫描方式为线性,步长增量为400K,输出节点5,扫描用于暂态分析。 4.频率响应分析 选择分析菜单中的交流频率分析项(Analysis/AC Frequency Analysis)在交流频率分析参数设置对话框中设定:扫描起始频率为1Hz,终止频率为1GHz,扫描形式为十进制,纵向刻度为线性,节点5做输出节点。 由图分析可得:当共射极基本放大电路输入信号电压VI为幅值5mV的变频电压时,电路输出中频电压幅值约为0.5V,中频电压放大倍数约为-100倍,下限频率(X1)为14.22Hz,上限频率(X2)为25.12MHz,放大器的通频带约为25.12MHz。 由理论分析可得,上述共射极基本放大电路的输入电阻由晶体管的输入电阻rbe限定,输出电阻由集电极电阻R3限定。 1.1.2共集电极基本放大电路(射极输出器)

实验1: 电路仿真工具Multisim的基本应用

实验一电路仿真工具Multisim的基本应用 一.实验目的 1.学会电路仿真工具Multisim的基本操作。 2.掌握电路图编辑法,用Multisim对电路进行仿真。 二、实验仪器 PC机、Multisim软件 三、实验原理 MultiSim 7 软件是加拿大Electronics Workbench 公司推出的用于电子电路仿真的虚拟电子工作台软件。它可以对模拟电路、数字电路或混合电路进行仿真。该软件的特点是采用直观的图形界面,在计算机屏幕上模仿真实实验室的工作台,用屏幕抓取的方式选用元器件,创建电路,连接测量仪器。软件仪器的控制面板外形和操作方式都与实物相似,可以实时显示测量结果。 1. Multisim 7主窗口 2. 常用Multisim7 设计工具栏 元件编辑器按钮--用以增加元件仿真按钮--用以开始、暂停或结束电路仿真。 分析图表按钮--用于显示分析后的图表结果分析按钮--用以选择要进行的分析。3.元件工具栏(主窗口左边两列) 其中右边一列绿色的为常用元器件(且为理想模型)。左边一列包含了所有元器件(包括理想模型和类实际元器件模型)。在电路分析实验中常用到的器件组包括以下三个组(主界面左边第二列): 电源组信号源基本器件组

(1)电源(点击电源组) 交流电源直流电源接地 (2)基本信号源 交流电流源交流电压源 (3)基本元器件(点击基本器件组) 电感电位器电阻可变电容电容 4.常用虚拟仪器(主窗口右侧一列) ⑴数字万用表 数字万用表的量程可以自动调整。双击虚拟仪器可进行参数设定。下图是其图标和面板: 其电压、电流档的内阻,电阻档的电流和分贝档的标准电压值都可以任意设置。从打开的面板上选Setting按钮可以设置其参数。 (2)信号发生器 信号发生器可以产生正弦、三角波和方波信号,其图标和面板如下图所示。可调节方波和三角波的占空比。双击虚拟仪器可进行参数设定。 (3)示波器 在Multisim 7中提供了两种示波器:通用双踪示波器和4通道示波器。双击虚拟仪器可进行参数设定。这里仅介绍通用双踪示波器。其图标和面板如下图所示。

模拟电子技术课程设计(Multisim仿真)

《电子技术Ⅱ课程设计》 报告 姓名 xxx 学号 院系自动控制与机械工程学院 班级 指导教师 2014 年 6 月18日

目录 1、目的和意义 (3) 2、任务和要求 (3) 3、基础性电路的Multisim仿真 (4) 3.1 半导体器件的Multisim仿真 (4) 3.11仿真 (4) 3.12结果分析 (4) 3.2单管共射放大电路的Multisim仿真 (5) 3.21理论计算 (7) 3.21仿真 (7) 3.23结果分析 (8) 3.3差分放大电路的Multisim仿真 (8) 3.31理论计算 (9) 3.32仿真 (9) 3.33结果分析 (9) 3.4两级反馈放大电路的Multisim仿真 (9) 3.41理论分析 (11) 3.42仿真 (12) 3.5集成运算放大电路的Multisim仿真(积分电路) (12) 3.51理论分析 (13) 3.52仿真 (14) 3.6波形发生电路的Multisim仿真(三角波与方波发生器) (14) 3.61理论分析 (14) 3.62仿真 (14) 4.无源滤波器的设计 (14) 5.总结 (18) 6.参考文献 (19)

一、目的和意义 该课程设计是在完成《电子技术2》的理论教学之后安排的一个实践教学环节.课程设计的目的是让学生掌握电子电路计算机辅助分析与设计的基本知识和基本方法,培养学生的综合知识应用能力和实践能力,为今后从事本专业相关工程技术工作打下基础。这一环节有利于培养学生分析问题,解决问题的能力,提高学生全局考虑问题、应用课程知识的能力,对培养和造就应用型工程技术人才将能起到较大的促进作用。 二、任务和要求 本次课程设计的任务是在教师的指导下,学习Multisim仿真软件的使用方法,分析和设计完成电路的设计和仿真。完成该次课程设计后,学生应该达到以下要求: 1、巩固和加深对《电子技术2》课程知识的理解; 2、会根据课题需要选学参考书籍、查阅手册和文献资料; 3、掌握仿真软件Multisim的使用方法; 4、掌握简单模拟电路的设计、仿真方法; 5、按课程设计任务书的要求撰写课程设计报告,课程设计报告能正确反映设计和仿真结果。

基于Multisim的电路仿真

模拟电子技术实验《信号放大器的设计》 班级: 姓名: 指导老师: 2013年12月10日至12日

1.实验目的 (1)掌握分立或集成运算放大器的工作原理及其应用。 (2)掌握低频小信号放大电路和功放电路的设计方法。 (4)通过实验培养学生的市场素质,工艺素质,自主学习的能力,分析问题解决问题的能力 以及团队精神。 (5)通过实验总结回顾所学的模拟电子技术基础理论和基础实验,掌握低频小信号放大电路 和功放电路的设计方法 2.实验任务和要求 2.1实验任务 1)已知条件: 信号放大电路由“输入电路”、“差分放大电路”、“两级负反馈放大电路”、“功率放大器”、“扬声器”几部分构成。 图2-1 信号放大器的系统框图 2)性能指标: a)输入信号直接利用RC 正弦波振荡电路产生。 b) 前置放大器: 输入信号:Uid ≤ 10 mV 输入阻抗:Ri ≥ 100 k c) 功率放大器: 最大不失真输出功率:Pomax ≥1W 负载阻抗:RL= 8; 电源电压:+ 5 V ,+ 12V ,- 12V d) 输出功率连续可调 直流输出电压 ≤ 50 mV 信号产生 差分放大 共射级放大 功率放大 负反馈 输出信号

静态电源电流≤100 mA 2.2实验要求 1)选取单元电路及元件 根据设计要求和已知条件,确定信号产生电路、前置放大电路、功率放大电路的方案, 计算和选取单元电路的原件参数。 2)前置放大电路的组装与调试测量前置放大电路的差模电压增益AU、共模电压增益AUc、共模抑制比KCMR、带宽BW、输入电压Ri等各项技术指标,并与设计要求值进行比较。 3)有源带通滤波器电路的组装与调试 测量有缘带通滤波器电路的差模电压增益AUd、带通BW,并与设计要求进行比较。 4)功率放大电路的组装与调试 功率放大电路的最大不失真输出功率Po,max、电源供给功率PDC、输出效率η、直流输 出电压、静态电源电流等技术指标。 5)整体电路的联调 6)应用Multisim软件对电路进行仿真分析。 2.3选用元器件 电容电阻若干、双踪示波器1个、信号发生器一个、交流毫伏表1个、数字万用表等仪器、晶体三极管 2N3906 1个,2N2222A 5个,2N2222 2个,2N3904 2个,1N3064 1个。 3、实验内容 1、总电路图 (一)实验总体电路图

Multisim电路仿真

Multisim电路仿真 示例1.直流电路分析 步骤一:文件保存 打开Multisim 软件,自动产生一个名为Design1的新文件。 打开菜单File>>Save as…,将文件另存为“CS01”(自动加后缀) 步骤二:放置元件 打开菜单Place>>Component… 1.选择Sources(电源)Group (组),选择POWER_SOURCES(功率源)Family(小组),在元件栏中用鼠标双击DC_POWER,将直流电源放置到电路工作区。 说明:所有元件按Database -> Group -> Family 分类存放

2.继续放置元件: Sources Group –>POWER_SOURCES Family->ROUND(接地点 Basic Group->RESISTOR Family(选择5个电阻) 3.设定元件参数。采用下面两种方式之一 1)在放置元件时(在一系列标准值中)选择; 2)在工作区,鼠标右键点击元件,在Properties (属性)子菜单中设定。 步骤三.根据电路图连线 用鼠标拖动元件到合适位置,如果有必要,鼠标右键点击元件,可对 其翻转(Flip)或旋转(Rotate)。连线时先用鼠移至一个元件的接线端, 鼠标符号变成叉形,然后拖动到另一结点,点击右键确认连线。 若需显示全部节点编号,在菜单 Option>>Sheet Properties>>Sheet visibility 的Net names 选板中选中show all。

步骤四.电路仿真 选择菜单Simulate>>Analyses>>DC operating point…(直流工作点分析) 在DC operating point analysis窗口中,选择需要分析的变量(节点电压、元件电流或功率等)。

multisim电路仿真图

一.直流叠加定理仿真 图1.1 图1.2 图1.3 结果分析:从上面仿真结果可以看出,V1和I1共同作用时R3两端的电压为36.666V;V1和I1单独工作时R3两端的电压分别为3.333V和33.333V,这两个数值之和等于前者,符合叠加定理。 二.戴维南定理仿真 戴维南定理是指一个具有直流源的线性电路,不管它如何复杂,都可以用一个电压源UTH与电阻RTH串联的简单电路来代替,就它们的性能而言,两者

是相同的。 图2.1 如上图2.1电路所示,可以看出在XMM1和XMM2的两个万用表的面板上显示出电流和电压值为:IRL=16.667mA,URL=3.333V。 图2.2 如上图2.2所示电路中断开负载R4,用电压档测量原来R4两端的电压,记该电压为UTH,从万用表的面板上显示出来的电压为UTH=6V。

图2.3 在图2.2所测量的基础之上,将直流电源V1用导线替换掉,测量R4两端的的电阻,将其记为RTH,测量结果为RTH=160Ω。 图2.4

在R4和RTH之间串联一个万用表,在R4上并接一个万用表,这时可以读出XMM1和XMM2上读数分别为:IRL1=16.667mA,URL1=3.333V。 结果分析:从图2.1的测试结果和图2.4的测试结果可以看出两组的数据基本一样,从而验证了戴维南定理。 三.动态电路的仿真 1、一阶动态电路: 图3.1 2、二阶动态电路分析: 图3.2 2、二阶动态电路: 图3.3

一阶动态电路中V2随时间的变化可以看出,在0~500ms之间随时间的增大而非线性增大,大于500ms后趋于稳定。 图3.4 当R1电位器阻值分别为500Ω,2000Ω,4700Ω时,输出瞬态波形的变化如上图所示。 四.交流波形叠加仿真 图4.1

电路分析multisim仿真实验二

电路分析Multisim仿真实验二 验证欧姆定律 1.实验要求与目的 (1)学习使用万用表测量电阻。 (2)验证欧姆定律。 2. 元器件选取 (1)电源:Place Source→POWER_SOURCES→DC_POWER,选取直流电源,设置电源电压为12V。 (2)接地:Place Source→POWER_SOURCES→GROUND,选取电路中的接地。(3)电阻:Place Basic→RESISTOR,选取R1=10Ω,R2=20Ω。 (4)数字万用表:从虚拟仪器工具栏调取XMM1。 (5)电流表:Place Indicators→AMMETER,选取电流表并设置为直流档。 3. 仿真实验电路 图1 数字万用表测量电阻阻值的仿真实验电路及数字万用表面板

图2 欧姆定律仿真电路及数字万用表面板 4.实验原理 欧姆定律叙述为:线性电阻两端的电压与流过的电流成正比,比例常数就是这个电阻元件的电阻值。欧姆定律确定了线性电阻两端的电压与流过电阻的电流之间的关系。其数学表达式为U=RI,式中,R为电阻的阻值(单位为Ω);I为流过电阻的电流(单位为A);U为电阻两端的电压(单位为V)。 欧姆定律也可以表示为I=U/R,这个关系式说明当电压一定时电流与电阻的阻值成反比,因此电阻阻值越大则流过的电流就越小。 如果把流过电阻的电流当成电阻两端电压的函数,画出U(I)特性曲线,便可确定电阻是线性的还是非线性的。如果画出的特性曲线是一条直线,则电阻式线性的;否则就是非线性的。 5.仿真分析 (1)测量电阻阻值的仿真分析 ①搭建图1所示的用数字万用表测量电阻阻值的仿真实验电路,数字万用表按图设置。 ②单击仿真开关,激活电路,记录数字万用表显示的读数。 ③将两次测量的读数与所选电阻的标称值进行比较,验证仿真结果。 (2)欧姆定律电路的仿真分析 ①搭建图2所示的欧姆定律仿真电路。 ②单击仿真开关,激活电路,数字万用表和电流表均出现读数,记录电阻R1两

Multisim仿真混沌电路

Multisim仿真—混沌电路 1104620125

Multisim仿真—混沌电路 一、实验目的 1、了解非线性电阻电路伏安特性,以及其非线性电阻特征的测量方法; 2、使用示波器观察混沌电路的混沌现象,通过实验感性地认识混沌现象,理解非线性科学中“混沌”一词的含义;; 3、研究混沌电路敏感参数对混沌现象的影响 二、实验原理 1、蔡氏电路 本实验采用的电路图如图9-16 所示,即蔡氏电路。蔡氏电路是由美国贝克莱大 学的蔡少棠教授设计的能产生混沌行为的最简单的一种自制电路。R 是非线性电 阻元件,这是该电路中唯一的非线性元件,是一个有源负阻元件。电容C2 与电 感L 组成一个损耗很小的振荡回路。可变电阻1/G 和电容C1 构成移相电路。最 简单的非线性元件R 可以看作由三个分段线性的元件组成。由于加在此元件上的 电压增加时,故称为非线性负阻元件。 三、实验内容 为了实现有源非线性负阻元件实,可以使以下电路,采用两个运算放大器(1 个双运放TL082)和六个配置电阻来实现,其电路如图1,这主要是一个正反馈电路,能输出电流以维持振荡器不断震荡,而非线性负阻元件能使振荡周期产生分岔和混沌等一系列非线性现象。 1、实验电路如下图,电路参数:1、电容:100nf 一个,10nf 一个; 2、线性电阻6 个:

200Ω二个,22kΩ二个,2.2kΩ一个,3.3kΩ一个;3、电感:18mH 一个;4、运算放大器:五端运放TL083 二个;5、可变电阻:可变电阻一个;6、稳压电源:9V 的VCC 二个,-9V 的VEE 二个; 图1 选好元器件进行连接,然后对每个元器件进行参数设置,完成之后就可以对 蔡氏电路进行仿真了。双击示波器,可以看到示波器的控制面板和显示界面,在 控制面板上可以通过相关按键对显示波形进行调节。 下面是搭建完电路的截图: 2、将电压表并联进电路,电流表串联进电路可以直接测出加在非线性负阻的电压、电流, U/V I/mA U/V I/mA 12 0.1579 -1 -0.76917 11 2.138 -2 -1.44352 10 4.601 -3 -1.84752

Multisim数电仿真 555电路应用

实验3.12 555电路应用 一、实验目的: 1. 了解555电路的工作原理。 2. 学会分析555电路所构成的几种应用电路工作原理。 3.掌握555电路的具体应用。 二、实验准备: 555电路是一种常见的集模拟与数字功能于一体的集成电路。只要适当配接少量的元件,即可构成时基振荡、单稳触发等脉冲产生和变换的电路,其内部原理图如图3.12.1所示,其中(1)脚接地,(2)脚触发输入,(3)脚输出,(4)脚复位,(5)脚控制电压,(6)脚阈值输入,(7)脚放电端,(8)脚电源。 图3.12.1 555集成电路功能如表3.12.1所示。 表3.12.1:

注:1.(5)脚通过小电容接地。 2.*栏对CMOS 555电路略有不同。 图3.12.2是555振荡电路,从理论上我们可以得出: 振荡周期: C R R T ?+=)2(7.021...........................…….....3.12.1 高电平宽度: C R R t W ?+=)(7.021 ..........................…….....3.12.2 占空比: q = 2 12 12R R R R ++............................................…......3.12.3 图3.12.3为555单稳触发电路,我们可以得出(3)脚输出高电平宽度为: RC t W 1.1=............................................................3.12.4 三、计算机仿真实验内容: 1. 时基振荡发生器: (1). 单击电子仿真软件Multisim7基本界面左侧左列真实元件工具条

基于Multisim的模拟电路仿真技术

本科毕业设计(论文) 题目基于Multisim的 模拟电路仿真技术 部系地方生部 专业电子信息工程 学员郑怿 指导教员梁发麦 中国人民解放军海军航空工程学院 2007 年7 月

基于Multisim的模拟电路仿真技术 摘要:介绍了Multisim 软件的功能和特点,提出运用Multisim 实现模拟电路的仿真方法。通过几个电子原理性电路的仿真实例阐述了模拟电路建立、元器件的选用和仿真参数的设置方法等关健问题,同时得到了正确的仿真结果。 关键词:模拟电路;Multisim ;仿真技术;EDA 从20 世纪80 年代以来,电子系统日趋数字化、复杂化和大规模集成化。同时深亚微米半导体工艺、B 表面安装技术的发展又支持了产品集成化程度的进步,使电子产品进入了片上系统(SOC )时代。另外电子产品厂商不懈追求缩短产品设计周期,从而获取高收益。在这些因素的影响下,EDA 技术应运而生。EDA ( Electronic Design Automation ,电子设计自动化)技术是一门综合了现代电子与计算机技术,以计算机为平台对电子电路、系统或芯片进行设计、仿真和开发的计算机辅助设计技术。利用EDA 技术对电力电子电路进行仿真一直是研究电力电子技术的工程技术人员所期望实现的目标。Multisim 就为此提供了一个良好的平台。在这个平台上可以容易地实现了基本的电力电子电路的仿真,包括不控整流电路、可控整流电路、逆变电路等电路的仿真分析。仿真得到的结果与理论分析的结果基本一致,这对电子电路的设计具有重大的意义。本文主要介绍利用Multisim 10平台对基本电子电路进行仿真的方法,得出与理论相符合的结果,有利于实际的工程设计。 1 Multisim 的功能和特点 加拿大Interactive Image Technologie 公司在1958 年推出了一个专门用于电子电路仿真和设计的EDA 工具软件EWB ( Electronics Workbench )。由于EWB 具有许多突出的优点,引起了电子电路设计工作者的关注,迅速得到了推广使用。但是随着电子技术的飞速发展,EWB 5 . x 版本的仿真设计功能已远远不能满足复杂的电子电路的仿真设计要求。因此IIT 公司将用于电路级仿真设计的模块升级为Multi sim ,并于2001 年推出了Multisim 的最新版本Multisim 2001 。 Multisim 2001 继承了 EWB 界面形象直观、操作方便、仿真分析功能强大、分析仪器齐全、易学易用等诸多优点,并在功能和操作上进行了较大改进。主要表现为:增加了射频电路的仿真功能;极大扩充了元器件库;新增了元件编辑器;扩充了电路的测试功能;增加了瓦特表、失真仪、网络分析仪等虚拟仪器,并允许仪器仪表多台同时使用;改进了元件之间的连接方式,允许任意走向;支持VHDL 和Verilo g 语言的电路仿真与设计;允许把子电路作为一个元器件使用,允许用户自定义元器件的属性等。 工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。 (一)模拟电路举例: 1.1 晶体管基本放大电路 共射极,共集电极和共基极三种组态的基本放大电路是模拟电子技术的基础,通过EWB对其进行仿真分析,进一步熟悉三种电路在静态工作点,电压放大倍数,频率特性以及输入,输出电阻等方面各自的不同特点。

Multisim电路仿真及应用

Multisim电路仿真及应用 仿真实训一:彩灯循环控制器的设计与仿真分析变换的彩灯已经成为人们日常生活不可缺少的点缀。那么这些变化的灯光是如何控制的呢?这就是我们下面要讨论的课题—彩灯循环控制电路。 电路设计分析彩灯循环控制技术指标: 1.彩灯能够自动循环点亮。 2.彩灯循环显示且频率快慢可调。 3.该控制电路具有8路以上输出。 仿真实训二:交通信号灯控制系统的设计与仿真分析十字路口的交通信号灯是我们每天出行时都会遇到的,信号灯指挥着行人和各种车辆安全有序的通行。实现红、绿灯的自动控制是城市交通管理现代化的重要课题,合适的信号灯指挥系统可以提高城市交通的效率。下面我们以该课题为例进行设计与仿真分析。 电路设计分析交通信号灯控制系统的技术指标: 1.主、支干道交替通行,主干道每次放行30s,支干道每次放行20s。 2.绿灯亮表示可以通行,红灯亮表示禁止通行。 3.每次绿灯变红灯时,黄灯先亮5s(此时另一干道上的红灯不变)。 4.十字路口要有数字显示,作为等候时间提示。要求主、支干道通行时间及黄灯亮的时间均以秒为单位作减计数。

5.在黄灯亮时,原红灯按1HZ的频率闪烁。 6.要求主、支干道通行时间及黄灯亮的时间均可在0-99s内任意设定。 仿真实训三:篮球比赛24秒倒计时器的设计与仿真分析电路设计分析: 计时器在许多领域均有普遍的应用,篮球比赛中除了有总时间倒计时外,为了加快比赛节奏,新的规则还要求进攻方在24秒内有一次投篮动作,否则视为违规。 本设计题目“篮球比赛24秒倒计时器”从数字电路角度讨论,实际上就是一个二十四进制递减的计数器。 电路设计技术指标: 1.能完成24秒倒计时功能。 2.完成计数器的复位、启动计数、暂停/继续计数、声光报警等功能。 仿真实训四:多路抢答器的设计与仿真分析 抢答器是各种竞赛活动中一种常用的必备装置,其发展也比较快,从一开始的仅具有抢答锁定功能的单个电路,到现在的具有倒计时、定时、自动(手动)复位、报警(即声响提示,有的以音乐的方式来体现)、屏幕显示、按键发光等多种功能、计数融合的产品。 电路设计分析电路设计计数指标: 1.本例抢答器最多可供4名参赛选手使用,编号1—4 号,各队分别用一个按钮(分别为S1—S4)控制,并设置一个系统清零和抢答控制开关S5,该开关由主持人控制。 2.抢答器具有数据锁存功能,并将锁存数据用发光二极管指示灯显示出来,同时蜂鸣器发出间歇式声响,支持人清零后,声音提示停止。

相关主题
文本预览
相关文档 最新文档