当前位置:文档之家› 血型配对和三裁判(含主裁判)表决器

血型配对和三裁判(含主裁判)表决器

血型配对和三裁判(含主裁判)表决器
血型配对和三裁判(含主裁判)表决器

数字逻辑实验报告

【实验目的】

掌握查阅数字集成电路资料的方法;掌握一般组合逻辑集成电路的使 【实验任务】

用常用组合逻辑集成电路设计以下逻辑功能

A .血型配对

B . 三裁判(含主裁判)表决器

【实验设备】 EP3c80 SOPC 实验系统 器件及功能说明 1)四2输入与非门:74LS00

2)8-1数据选择器:74LS151

S = 1时,选择器被禁止,无论地址码取值如何,输出Y 总为0。 S = 0时

74LS151真值表

3)3-8译码器:74LS138

A 2、A 1、A 0为二进制译码输入端 , Y1~Y7 为译码输出端(低电平有效),G 1、 A G 2 、

B 2为选通控制端

G 1=1、 022=+B A G G : 译码器处于工作状态

当G 1

=0,或 122=+B A :译码器处于禁止状态

74LS138真值表

A血型配对的实现

【实验原理】

人的血型有A、B、AB、O四种。输血时输血者的血型与受血者血型必须符合图1中用箭头指示的授受关系。判断输血者与受血者的血型是否符合上述规定,要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。(提示:用两个逻辑变量的4种取值表示输血者的血型,例如00代表A、01代表B、10代表AB、11代表O。)

图1

根据真值表,列出逻辑表达式:

Y=A B C D+A B C D+A B C D+A BC D+A B C D+AB C D+ AB C D+ABC D+ABCD

按照逻辑表达式,设计逻辑电路图:

74LS151有三个输入端口,在逻辑函数的四个输入变量中,任意确定三个变量作为74LS151输入量,因此选择方案有多种,例如确定ABC、BCD、ABD、ACD

等为74LS151输入量,不同的方案得到的标准与或式的形式不同,因此电路结构也不同。

方案:如果BCD为选择变量,且设B为高位,则逻辑函数Y的与或标准型表达式为

Y=A B C D+A B C D+A B C D+A BC D+A B C D+AB C D+ AB C D+ABC D+ABCD

=A m0+ m2 + A m4 + m5+ m6+A m7

可以推出:D0=A

D4=D7=A

D2=D5=D6=1

D1=D3=0

在此仅列ABC、BCD为选择变量的情形,ABD、ACD为变量的情形与方案一、方案二类似。对比方案一、二,都必须用到一片74LS151与一片74LS00,两方案的复杂程度类似。因此,选择任一方案皆可

【实验电路图】

【实验步骤】

1.了解8-1数据选择器:74LS151的引脚图,

2.由最小项表达式推出

D0=A; D4=D7=A;D2=D5=D6=1; D1=D3=0;

则取数据输入端D2=D5=D6=1接Vcc(=5V);D1=D3=0接地(GND);

使能端S~接地,D4=D7=A接输入端,D0=A~接在四2输入与非门74LS00的输出端,其输入端为A;函数的输入变量B,C,D分别接在数据选择端的C,B,A.

【实验数据记录】

A 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

B 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1

C 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

D 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

灯亮灭亮灭灭亮亮灭灭灭亮灭亮亮亮亮

(输入变量B,C,D分别对应数据选择端的C,B,A。第四个输入端对应于A。

当ABCD=0000时,灯亮;当ABCD=0001时,灯灭。余情况如上表)

由实验现象可知其余血型配对真值表相符合!

【验结果分析和总结】

通过灯的亮与灭的现象可以很好的判断血型是否相匹配,当灯亮时表示血型匹配成功,否则不成功.

该方法实现较为复杂,且需要辅助器件四2输入与非门:74LS00但能够充分的利用数据输入端

****************************************************************************** B判(含主裁判)表决器的实现

【实验原理】

A B C Y

0 0 0 0

Y=A BC+A B C +C B A +C AB +ABC

=m3+m4+m5+m6+m7;

最小项逻辑表达式推出:D3=D4=D5=D6=D7=1; D0=D1=D2=0; 【实验步骤】

1. 了解8-1数据选择器:74LS151的引脚图,

2. 辑表达式推出:D3=D4=D5=D6=D7=1;

D0=D1=D2=0;

则取数据输入端D3=D4=D5=D6=D7(接Vcc),D0=D1=D2=0(接地) 使能端S~接地,函数的输入变量A,B,C 分别接在数据选择端的C,B,A 端。

【实验电路】

实验电路图接法如下:

【实验数据记录】实物电路如下:

实验现象记录如下:

输入变量ABC分别对应数据选择端CBA,当ABC=000时,灯灭。当ABC=001时,灯灭。当ABC=100时,灯亮。现象记录如上表,与其真值表想对应。

【实验结果分析与总结】

通过灯的亮与灭的现象可以很好的进行三人判决,灯亮时表示判决成功,否则不成功!该方法实现较为简单,且不需要辅助器件,但不能够充分的利用数据输入端。

数字电路 血型匹配

组合逻辑电路大作业报告 学院:自动化学院 班级:自动化1702 班 姓名:马子茹 173407020203

一、题目要求 试用与非门或74LS151分别设计一个血型配对指示器,输血时供血者和受血者的血型配对情况为:(1)同一血型之间可以相互输血;(2)AB型受血者可以接受任何血型的输血;(3)O型输血者可以给任何血型的受血者输血。当受血者的血型和供血者的血型符合要求时绿灯亮,否则红灯亮。 二、逻辑分析 人的血型有A、B、AB、O四种。输血时输血者的血型与受血者的血型必须符合图示中用箭头指示的授受关系。 假设MN代表输血者的血型(00为A型血、01为B型血、10为AB型血、11为O型血),PQ代表受血者的血型(00为A型血、01为B型血、10为AB型血、11为O型血)。假设Y为输出,Y=1时表示血型匹配绿灯亮,Y=0时表示血型不匹配红灯亮。由此可以列出输血、受血血型是否匹配的真值表如下。

根据真值表可以得到逻辑函数表达式为: Y=M'N'P'Q'+M'N'PQ'+ M'NP'Q + M'NPQ'+ MN'PQ'+ MNP'Q'+MNPQ'+MNP'Q+ MNPQ 三、用与非门设计血型配对指示器 1、设计过程 利用卡诺图将逻辑函数表达式进行化简: 化简结果为:Y=M'N'Q'+NP'Q+PQ'+MN跟据化简结果进行与非门逻辑电路设计

2、仿真过程 因为实验情况较多,我们选择了随机抽取的的四种仿真效果作为参考:两种可以输血(亮绿灯),两种不可输血(亮红灯)的情况。 (1)Y=1,亮绿灯,可以输血。 ①当输入M=N=P=Q=0时,输出Y=1。既A血型可以给A血型输血,亮绿灯,演示结果如图: ②当输入M=0,N=1,P=1,Q=0时,输出Y=1。既B血型可以给AB血型输血,亮绿灯,演示结果如图:

组合逻辑电路——血型匹配电路

. . . 组合逻辑电路 ——血型匹配电路 一、题目: 人的血型由A、B、AB、O四种。输血时输血者的血型与受血者血型必须符合图1中用箭头指示的授受关系。判断输血者与受血者的血型是否符合上述规定,要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。(提示:用两个逻辑变量的4种取值表示输血者的血型,例如00代表A、01代表B、10代表AB、11代表O。) 图1 二、分析: 人的血型由A、B、AB、O四种刚好可以用两个逻辑变量表示,在这里我们不妨设00代表血型A、01代表血型B、10代表血型AB、11代表血型O。由于我们是要来判断两个血型是否匹配,则我们需要用四个逻辑变量,通过对四个逻辑变量进行逻辑设计,从而得到所需要求电路。 题目要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。74LS151只有8个数据输入端要来实现四个逻辑变量(16个数据最小项)的数据逻辑组合。这是必须有一个逻辑变量接到74LS151的数据输入端。 我们不妨把输血者血型用逻辑变量BA表示,受血者血型用逻辑变量DC表示,则由图一所指示的授受关系。得到能否匹配的卡诺图,其中匹配用1表示,不能用0表示。 做出逻辑变量ABCD的卡诺图如下图所示:

DC BA 00 01 11 10 00 01 11 10 由于用74LS151,需要把一个变量放到数据输入端,这里我们不妨把D 放到数据输入端我们得到卡诺图2 m 0 m 1 m 3 m 2 m 6 m 7 m 5 m 4 D ABC 000 001 011 010 110 111 101 100 0 1 D 0=1 D 1=D D 3=1 D 2=D D 6=0 D 7=1 D 5= D 4=0 由此我们可以的做出仿真电路: VCC 5V U1 74LS151N G 07MUX ~W 6 D04D13D22D31D415D514D613D7 12 A 11C 9 B 10Y 5~G 7 X1 5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V U2A 74S00D & 1 0 0 1 0 1 0 1 1 1 1 1 0 0 0 1 1 0 1 0 0 1 1 0 1 1 1 1 0 1 0 0

关于电加热器的控制程序的编写参考

之前讨论过关于电加热器控制的一次回路的配置问题,使我有重新整理该加热控制的想法。该设备为西门子840D系统,实际应用时,加热器由于国内好几家电加热器生产厂家无法达到加热外形尺寸精度及单位空间功率的限制,境外订购时间周期长等原因,最后,只能被放弃使用该电加热控制单元,改电加热方式为天然气加热方式。 使用至今已经有10多年时间了。另外一个放弃的原因是由于模具事先需要掏空处理(均分在底模18个直径40*200mm的加热器安装孔),且需要分多层安装(主要是预埋连接铜排,高温导线层面,耐高温绝缘处理),其中还需要有隔热板处理与主轴的连接,模具总装后,对同心度±0.05mm的要求,在实际操作起来也具有一定的加工、安装难度。 为说明该控制原理,先上一张电加热一次侧控制图: 一次侧回路由电源总开关(断路器3RV1031-4FA10),及漏电保护器(5SM3846-8 63/1000mA)、交流接触器(3RT1036-1BB40)、加热驱动器单元组成对加热总功率18KW的温度控制,其中,温度反馈传感器采用PT100热电阻,需要事先预埋在模具内部并连接好端子。 加热工作原理: 当需要实现主轴设备模具加热或者保温时,先让主轴运行主轴定位步骤(NCK的spos(角度)指令完成定位),完成主轴定位后,加热器通过气动单元将三相加热电源插入到模具加热端子上,一种带弹性(紫铜结构件)的环氧材料连接器,同时,将热电阻PT100一起插入到对应的端子上进行模具的加热和保温,加热器分6组(3KW/组)中心点不接地方式连接。另外,加热控制单元由3个电流变送器检测三相工作电流,控制器的输入为模拟量控制方式。控制程序:图示部分程序段 三相输入电流检测: L 695 T #Korr_Faktor_Stromistwert //将常数695装入数据块DB106.DBD72中。 //Stromaufnahme Phase 1 L "Analogeing.Heizstrom Ph1" //A相输入电流值。

电磁加热器结构及工作原理

电磁加热器结构及工作原理 目录: 一、电磁加热器结构 二、电磁加热器工作原理 三、电磁加热器操作与调试 一、电磁加热器结构 井口加热器主体为棒式往复式管状结构,由铁磁性热载棒体和钢套管与高强度法兰组合焊接加工制成。经先进的焊接工艺处理,加热器的主体具有高强耐压、坚固密封、热应变能力强和抗腐蚀等特点,能承受足够的机械压力和强度。 电磁加热器外观:

电磁加热器安装示意图 115 1213 进油口法兰 出油口法兰 传感器安装孔 温控器防爆接线盒 温控器电缆引线咀引线) 6.加热器控制柜 控制柜开关门锁 加热器铭牌 加热器防爆接线盒 过热保护电缆引线咀(KT1引线) 加热器电源电缆引线咀 加热器棒体 加热器安装支架 出油口截门 旁通截门 16.进油口截门 连接短节(便于维修或更换) 14 15 16 1 23 4 7 6 10 9817 电磁加热器结构图

与井口加热器配套使用的电热控制柜,为柜式防护结构,由优质厚钢板弯制焊接而成。壳体采用静电喷涂防腐工艺处理。柜内由漏电式空气开关,交流接触器、温控仪表、无功补偿元件、过热保护继电器等器件组成。控制电路装置有主令开关,可以人工投入和切除控制回路电源。 井口加热器根据使用场所,配套使用的电热控制柜分为:一般防护型和防爆型两种规格;加热方式又分为工频电热型和恒温变频电热型两种,可适用于不同的加热工艺和使用场所。 防爆控制柜

温控仪表 接线箱 防爆配电控制柜示意图 控制开关 电源开关 仪表观察窗 防爆接线箱

一般防护型控制柜示意图 井口加热器结构与安装示意图

进油口法兰 出油口法兰 传感器安装孔 温控器防爆接线盒 温控器电缆引线咀 6.加热器控制柜 控制柜开关门锁 加热器铭牌 加热器防爆接线盒 过热保护电缆引线咀 加热器电源电缆引线咀 加热器棒体 加热器安装支架 出油口截门 旁通截门 16.进油口截门 结构:主体为棒式往复式管状结构,配套使用防爆控制柜,井口来液低进高出通 过腔体进行加热。 二、电磁加热器工作原理 1.电磁加热器热载体由高温热缆缠绕在铁磁性钢管棒芯上,并结构套入护套 钢管内形成磁场闭合回路。由于铁磁性钢管的自身特性,电流通过高温电缆回路 作用于电磁热载棒体上,使铁磁性钢管迅速产生强烈的磁滞涡流及磁阻热效应, 而热载体释放的杂散磁场经外套钢管屏蔽吸收并产生圆环内集肤效应热,用来直 接加热石油。而电磁加热器消耗的无功电力通过无功功率就地补偿后,其功率因 数则达到0.95以上,其所消耗的无功电能而直接转换为热能,一并用来加热石 油介质,因此,其热效率高达98%以上。与阻性加热器相比,在同等加热工艺条 件下其平均节电率达10-21%。

血型配对表

血型配对表 血型配对 由于人类红细胞所含凝集原不同,而将血液分成若干型,故称血型,以“ABO血型”和“Rh 血型”最为常见。血型配对,是指夫妻结合后根据双方血型能推算出后代血型的一种规律。 狭义地讲,血型专指红细胞抗原在个体间的差异;但现已知道除红细胞外,在白细胞、血小板乃至某些血浆蛋白,个体之间也存在着抗原差异。因此,广义的血型应包括血液各成分的抗原在个体间出现的差异。通常人们对血型的了解往往仅局限于ABO血型以及输血问题等方面,实际上,血型在人类学、遗传学、法医学、临床医学等学科都有广泛的实用价值,因此具有着重要的理论和实践意义。 输血血型配对 血型配对除了可以推测后代血型外,在临床上输血时也起着重要意义。由于不同血型的人具有不同抗原,若输血时接受了不适合自己血型的血液,则会起到严重的抗凝反应,导致生命危险。 血型主要是根据人体血液中含有的血型抗原来分类的,而每个人的血型又是从父母亲那里有规律地遗传得来的。以最普遍的ABO血型系统来说,如果一个人的血液里有A抗原,就是A型血;有B抗原的是B型血;同时含有A和B抗原的是AB型血;既不含A也不含B抗原的则是O型血。 血液中除含有上面提到的血型抗原,还有一种相对应的物质——血型抗体。A型血有抗B抗体,B型血有抗A抗体,当这种相互对抗的抗原抗体相遇时就会发生生物学所指的凝集反应,表现在临床上就是使我们的血液大量地溶解和破坏。 ABO系统中血液的抗原抗体: A :A抗原抗B抗体 B:B抗原抗A抗体 AB:A、B抗原无 O:无抗A、B抗体 输血的凝集反应是一种致命的症状,可以严重危及人的生命。所以应避免将含有对受血者血型抗原对抗抗体的血液输入给受血者。所以说,B型血不能输给A型血,A型不能输给B型。 AB型血因不含抗A和抗B抗体,理论上可以接受异型血输入;O型血与AB正相反,即含抗A又含抗B抗体,因此不能接受异型输血,但它不含A和B抗原,当需要的时候可以输给A、B或AB型血的人。这就是通常把O型血称作“万能输血者”的原因。

电加热器说明书范文

电加热器说明书

DRK型空气电加热器 DRK Electric Air Heater 使用说明书 Operating Instruction Manual 江苏国能环保设备有限公司 Jiangsu Guoneng Environment Protection Equipment Co., Ltd.

一、前言Preface DRK型空气电加热器是我厂近年来研制成功的专门供燃煤发电厂除灰系统使用的新型加热设备,该设备由空气电加热器和控制系统两个部分组成。发热元件采用1Cr18Ni9Ti不锈钢无缝管作保护套管,0Cr27A17MO2高温电阻合金丝、结晶氧化镁粉,经压缩工艺成型,使电加热元件的使用寿命得以保证。控制部分采用先进的数字电路、集成电路触发器、高反压可控硅等组成可调测温、恒温系统,保证了电加热器的正常运行。 DRK electric air heater, the new type heating equipment special for coal-fired power plant ash collection system, is successfully made by our company recent years. This equipment consists of electric air heater and control system. Heating unit adopts 1Cr18Ni9Ti seamless steel tube as the protective case. After compression craft formation, 0Cr27A17MO2 high temperature resistance alloy wire and crystal magnesia powder could make sure the life of electric heating element. Control part uses advanced digital circuit, IC trigger and high counter voltage SCR to compose adjustable thermometer and thermostat system, which insure the normal working of heater.

电加热器工作原理

电加热器工作原理 电加热器主要产品有:电热热炉、高密度单端加热管、锅炉用电热管、烘箱用电热管、翅片电加热管、汽车电加热器、电热管、电力电加热器、防爆电加热器、合成电加热器、贮罐电加热器、高温陶瓷电加热器、分子筛电加热器、循环式电加热器、哈夫式电加热器、履带式电加热器、热水电加热器、流体循环式电加热器。 1.电加热器性能电加热器(电加热管)是以金属管为外壳,沿管内中心轴向均布螺旋电热合金丝(镍铬,铁铬合金)其空隙填充压实具有良好绝缘导热性能的氧化镁砂,管口两端用硅胶或陶瓷密封,这种金属铠装电热元件可以加热空气,金属模具和各种液体。 2.电加热器结构电加热器(电加热管)是以金属管为外壳,沿管内中心轴向均布螺旋电热合金丝(镍铬,铁铬合金)其空隙填充压实具有良好绝缘导热性能的氧化镁砂,管口两端用硅胶或陶瓷密封,这种金属铠装电热元件可以加热空气,金属模具和各种液体。 3.电加热器使用电加热器是专门将电能转化为热能的电器元件,由于其价格便宜,使用方便,安装方便,无污染,被广泛使用在各种加热场合,电加热管的使用寿命都很长,一般设计使用寿命有10000多小时. 4.电加热管用途电加热器的分类:烘箱用散热片电加热器,桑拿浴电加热器,蒸饭机水箱用电加热器,紧固件安装电热锅炉用电加热器,法兰安装电热锅炉用电加热器,空气电加热器,液体电加热器,锅炉电加热器 …等等 电加热器类各种产品及性能参数 1.钢制卡套式电加热器钢制卡套式电加热器具有连接牢靠、耐压能力高、密封性和反复性好、安装检修方便、工作安全可靠等特点。 2.钢制扩口式电加热器扩口式电加热器是以油、水、气和各种腐蚀性材料为介质的管路系统中的一种连接件。 3.焊接式电加热器焊接式电加热器适用介质:油、水、气等非腐蚀性或腐蚀性介质焊接式电加热器适用温度:由使用介质和选用垫片而定t≤450℃制造材料:20#,35#,1Crl8Ni9Ti,0Crl8Ni-12M02Ti, 316L 配管要求:¢6—¢50普通级精度无缝钢管 4.轴封电加热器(蒸汽电加热器)蒸汽电加热器(电加热蒸汽炉):在用户低温

血型配对表

血型配对表 2009年04月21日星期二 13:30 父母血型子女可能子女不可能* A及A A,O B,AB A及B A,B, AB,O A及AB A,B, AB O A及O A,O B,AB B及B B,O A,AB B及AB A,B, AB O B及O B,O A,AB AB及AB A,B, AB O AB及O A,B AB,O O及O O A,B,AB 男女血型爱情配对表 血型会造就情人,不同的血型搭配,往往奇妙激荡同一电波;频道相同,当然就极易迸裂爱的火花了。 根据新郎新娘有关血型的实际调查发现,B型男孩看O型女孩最对眼,A型男孩最容易对B型女孩萌生爱意,而同是O型者,较难产生爱的火焰。 血型爱情配对表,根据血型测试你和恋人的缘分和婚姻,仅供参考! ?AB型和 AB型:经不起外部攻击。 这是一组比“B—B”更单一化的关系,在他们之间似乎只有知识交流的关系。除外表容貌、社会能力、技术等因素外,在待人方式、性格及个性等方面,双方都抱有不屑一顾的态度。特别在男女关系,不少AB型男女明确表示不愿与AB型人交往。日常生活方面的相互配合也很难说是好的。笔者偶尔也拜访清一色的AB 型家庭,那种一家子各行其是的景象是令人惊讶的,甚至觉得他们相互间都是漠不关心的。可是,漠不关心的AB型人对兴趣爱好、工作等知识性问题却有共同的语言,相互很谈得拢,而且还常常相互忠告。相同血型的人,最好能把气质以外的因素拉开差距,如出身,职业、负责的业务、地位及年龄差别等。AB型同伴在工作上能建立起极好的上下级关系。他们之间信息畅通、配合默契。甚至在长时间不对话的情况下,也能确信对方在考虑同一个问题。堪称富有理智和信任的关系。在企业或其它一些部门里常可以看到这样的上下级关系。不管怎么说,由于AB型人之间缺乏气质上的和人类间应有的吸引因素,所以虽然有的机构里AB型人较多,但能自然地长久相处的AB型组合还是不多见的。他们似有脆弱性,受到外部压力和冲击时较易散伙。 ?AB型和 A型:由相爱、尊敬、定局 这一组合常见于相亲相爱的夫妇和情侣;其次是朋友以及相处得好的同伴关系。这组关系总的感觉是,他们似乎较难形成配合默契的工作关系。简明地说,这是

组合逻辑电路——血型匹配电路

组合逻辑电路 ——血型匹配电路 一、题目: 人的血型由A、B、AB、O四种。输血时输血者的血型与受血者血型必须符合图1中用箭头指示的授受关系。判断输血者与受血者的血型是否符合上述规定,要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。(提示:用两个逻辑变量的4种取值表示输血者的血型,例如00代表A、01代表B、10代表AB、11代表O。) 图1 二、分析: 人的血型由A、B、AB、O四种刚好可以用两个逻辑变量表示,在这里我们不妨设00代表血型A、01代表血型B、10代表血型AB、11代表血型O。由于我们是要来判断两个血型是否匹配,则我们需要用四个逻辑变量,通过对四个逻辑变量进行逻辑设计,从而得到所需要求电路。 题目要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。74LS151只有8个数据输入端要来实现四个逻辑变量(16个数据最小项)的数据逻辑组合。这是必须有一个逻辑变量接到74LS151的数据输入端。 我们不妨把输血者血型用逻辑变量BA表示,受血者血型用逻辑变量DC表示,则由图一所指示的授受关系。得到能否匹配的卡诺图,其中匹配用1表示,不能用0表示。 做出逻辑变量ABCD的卡诺图如下图所示: DC BA 00 由于用74LS151要把一个变量放到数据输入端,们不妨把D放到数据 诺图2 m0m1 m m2 m6 m7

m 5m 4 D ABC 000 001 011 010 110 111 101 100 0 1 D 0=1D 1=D D 3=1D 2=DD 6=0D 7=1D 5=D 4=0 由此我们可以的做出仿真电路: VCC 5V U1 74LS151N G 07MUX ~W 6 D04D13D22D31D415D514D613D7 12 A 11C 9 B 10Y 5~G 7 X1 5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V U2A 74S00D & 三、 仿真验证: 当BA=00,DC=00时:可以看见指示灯亮,输出高电平,输出正确 VCC 5V U1 74LS151N G 07MUX ~W 6 D04D13D22D31D415D514D613D7 12 A 11C 9 B 10Y 5~G 7 X1 J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V U2A 74S00D & 1 0 1 0 0 1 1 0 1 1 1 1 0 1 0 0

第二章练习题

1 第2章 组合逻辑电路分析与设计 2-1 图2-5是两个CMOS 逻辑门的内部结构图,试说出逻辑门的名称,并写出输出函数表达式,画出其逻辑符号。 2-2 已知74S00是2输入四与非门,I OL =20mA ,I OH =1mA ,I IL =2mA ,I IH =50μA ;7410是3输入三与非门,I OL =16mA ,I OH =0.4mA ,I IL =1.6mA ,I IH =40μA 。试分别计算74S00和7410的扇出系数。理论上,一个74S00逻辑门的输出端最多可以驱动几个7410逻辑门,一个7410逻辑门的输出端最多可以驱动几个74S00逻辑门? 2-3 图2-7中的逻辑门均为TTL 门。试问图中电路能否实现1F AB =,2F AB =, 3F AB BC =?的功能?要求说明理由。 2-4 试用OC 与非门实现逻辑函数F AC ABC ACD =++,假定不允许反变量输入。 2-5 某组合逻辑电路如图2-9(a )所示 (1) 写出输出函数F 的表达式; (2) 列出真值表; (3) 对应图2-9(b )所示输入波形,画出输出信号F 的波形; Q Q 3 6 A B +V Q Q Q Q F 1 24 5 DD Q Q 1 2 F A B Q Q Q Q +V 34 5 6 DD (a ) (b ) 图2-5 CMOS 逻辑门内部结构图 & A 1 B 10K F β =50 +5V 1K & A 2 B 10K F β =50 +5V 1K & & A B C 3 F (a ) (b ) (c ) 图2-7

2 (4) 用图2-9(c )所示与或非门实现函数F (允许反变量输入)。 2-6 写出图2-11所示电路的输出函数表达式,说明该电路的逻辑功能和每个输入变量和输出变量的含义。 2-7 列表说明图2-12所示电路中,当S 3S 2S 1S 0作为控制信号时,F 与A 、B 的逻辑关系。 A EN EN B E ≥1 & 1F 1A B E F & ≥1 (a ) (b ) (c ) 图2-9 & ≥1 1 =1 & ≥1 A B F S S S 123 S 0 图2-12 图2-11 & ≥1 A 1 A 01 11 X 1X 0X 3X 2EN Y

血型匹配指示器

学号41 分数 血型匹配指示器 姓名:朱丽丝 班级:09电信 指导教师:赵欣 湖北轻工职业技术学院 2011年03月27日

目录 第一章概述 (2) 第二章血型匹配指示器的电路原理 (3) 第三章电路的调试与制作 (5) 第四章总结与体会 (8) 第五章附录 (9)

第一章概述 每个人都拥有各自不同的血型,但并不是谁都清楚自己能接受哪些血型的人献血,能给哪些人献血。在献血、受血的时候,如果不清楚而搞错了,就会出很大问题。例如:如果B型血输给O型血,可引起凝集反应,也就是说血液凝结在一起,堵塞小血管,发生血液循环障碍,从而破坏肾功能,严重时甚至可致人死亡。 血型匹配指示器能够在操作人按下自己血型的对应按钮后,通过指示灯告诉操作人他的血能为哪些血型的人群服务;同时,操作人也能够知道按下某个按钮时,若自己血型对应的指示灯亮了,意味着自己可以接受这个血型的人群的献血。有了这个血型匹配指示器后,在受血时,就不会出现那些不必要的错误而造成不必要的严重后果。 我们这次制作的血型匹配指示器,每一个开关都代表一个受血者或献血者的血型,按下相应的开关后,亮绿灯代表是可以的,亮红灯代表不可以。 下面就是我们这次制作的详细过程。

第二章血型匹配指示器的电路原理一、原理图:

二、原理介绍: 血型匹配的原则是: (1).O型血可以输给A、B、O型血,但O型血只能接收O型血; (2).AB型血的人只能输给AB型血的人,但AB型可以接受A、B、O型血; (3).A型血能输给A型血或AB型血,可以接受A型血或O型血; (4).B型血能输给B型血或AB型血,可以接受B型血或O型血。 整个电路最主要的是采用了一个8选1的数据选择器来实现电路的逻辑功能。其次是用了2组开关来表示供血者和受血者的血型,其中用00来表示A型血,用01来表示B型血,用10来表示AB型血,用11来表示O型血(输血者和受血者均用开关如此来表示)。如果输血者与受血者的血型符合规定则绿灯亮,表示可以输血,否则就是红灯亮,表示不能输血。

组合逻辑电路——血型匹配电路

. 组合逻辑电路 ——血型匹配电路 一、题目: 人的血型由A、B、AB、O四种。输血时输血者的血型与受血者血型必须符合图1中用箭头指示的授受关系。判断输血者与受血者的血型是否符合上述规定,要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。(提示:用两个逻辑变量的4种取值表示输血者的血型,例如00代表A、01代表B、10代表AB、11代表O。) 图1 二、分析: 人的血型由A、B、AB、O四种刚好可以用两个逻辑变量表示,在这里我们不妨设00代表血型A、01代表血型B、10代表血型AB、11代表血型O。由于我们是要来判断两个血型是否匹配,则我们需要用四个逻辑变量,通过对四个逻辑变量进行逻辑设计,从而得到所需要求电路。 题目要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。74LS151只有8个数据输入端要来实现四个逻辑变量(16个数据最小项)的数据逻辑组合。这是必须有一个逻辑变量接到74LS151的数据输入端。 我们不妨把输血者血型用逻辑变量BA表示,受血者血型用逻辑变量DC表示,则由图一所指示的授受关系。得到能否匹配的卡诺图,其中匹配用1表示,

不能用0表示。 做出逻辑变量ABCD 的卡诺图如下图所示: DC BA 00 01 11 10 00 01 11 10

由于用74LS151,需要把一个变量放到数据输入端,这里我们不妨把D 放到数据输入端我们得到卡诺图2 m 0 m 1 m 3 m 2 m 6 m 7 m 5 m 4 D ABC 000 001 011 010 110 111 101 100 0 1 D 0=1 D 1=D D 3=1 D 2=D D 6=0 D 7=1 D 5= D 4=0 由此我们可以的做出仿真电路: VCC 5V U1 74LS151N G 07MUX ~W 6 D04D13D22D31D415D514D613D7 12 A 11C 9B 10Y 5~G 7 X1 5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V U2A 74S00D & 三、 仿真验证: 当BA=00,DC=00时:可以看见指示灯亮,输出高电平,输出正确 1 0 1 0 0 1 1 0 1 1 1 1 1

电加热器操作指导

电加热器操作指导书 控制原理 1、电加热器采用自动控制,操作人员只需在开机时设定好温度参数,按启动按钮之后,电加热器即可自动地进行温度控制,无需设专人值守。 2、有常规的电气保护,如短路保护、过载保护、超温保护等。 3、在控制柜面板带有电压电流显示,温度显示,指示灯,按钮等。 4、控制柜内部带有断路器,接触器,可控硅调功器,中间继电气等。 温度控制 1、本系统采用温控表ST1采集温度信号,经过PID计算,输出电压脉冲信号,由可控硅调节电加热器的功率输出(0-100%功率调节),保证了加热器的温度精度。同时将出口温度,变松给远程DCS。 2、温度报警由温控表ST2作为超温报警用,当实际温度高于报警设定值时,加热器报警并自锁,对应报警灯亮。报警条件消除后按复位按钮后才能重新启动。 3、温度开关也作为超温报警用,当实际温度高于报警设定值时,加热器报警并自锁,对应报警灯亮。报警条件消除后按复位按钮后才能重新启动。 功率控制 1、功率控制采用可控硅调功器进行整体控制,达到功率0-100%控制。 2、可控硅调功器接收出口问题控制仪表输出的电压脉冲信号,根据此信号去调节加热器的输出,实现0-100%功率无极调节,从而使介质温度得到精确控制。 3、可控硅采用周波模式,避免导通角模式所引起的电网污染。 信号往来 1、控制柜向用户的DCS系统提供加热系统处于运行、超温报警信号、同时可接受DCS到控制柜连锁停止操作命令。 运行操作说明 1、合闸断路器,电源指示灯亮,加热器处于待运行状态。 2、设定出口温度控制仪表及内部超温报警仪表温度。 3、PV为温度测量值,SV为温度设定值。

4、出口温度控制仪表可增大或减小温度设定值。内部超温报警仪表可增大或减小温度设定值,也可改变小数点的位置。 5、电加热器启动:按防爆柜面板上的停止按钮,运行指示灯灭,加热器停止。 6、加热器超温报警,如超温指示灯亮,则表示发生内部超温报警,此时加热器被切断,解除故障后按复位按钮复位,则超温指示灯灭,加热器可重新启动。 7、加热器不用时,请断开主断路器。 8、电加热器连锁停:按远程DCS的连锁停按钮,系统断电。

数字逻辑-血型配对-三裁判

《数字逻辑》实验报告 第一次实验:组合逻辑电路设计 实验报告 实验目的:掌握查阅数字集成电路资料的方法;掌握一般组合逻辑集成电路的使用 实验任务: A血型配对 B.三裁判(含主裁判)表决器 实验设备、器件及功能说明: ?四2输入与非门:74LS00

?8-1数据选择器:74LS151 74LS00 2 输入端四与非门 74LS151 8选1数据选择器 实验内容: (1)A血型配对 题目要求:人的血型有A、B、AB、O四种。输血时输血者的血型与受血者血型必须符合图1中用箭头指示的授受关系。判断输血者与受血者的血型是否符合上述规定,要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。(AB表示输送血型,CD表示接受血型。输出变量F,F取值为1表示可以输送。 假设血型编码为 AB取值为00表示O型、01表示A型、10表示B型、11表示AB型; CD取值为00表示AB型、01表示B型、10表示A型、11表示O型)

A B AB O A B AB O 图1 二、设计思路 由逻辑关系列出真值表 A 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 B 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 C 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 D 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 F 1 1 1 1 1 0 1 0 1 1 0 0 1 0 0 0 卡诺图为: 由卡诺图可得:F=BD+AC = BD * AC 电路如图: 电源输出F

A C B D 接地 3接13, 6接12 11接10 11接9 8为输出F 实验结果: A 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 B 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 C 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 D 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 F 1 1 1 1 1 0 1 0 1 1 0 0 1 0 0 0 表示的结果为: O型适用于所有血型;A适用于AB型和A型,不适用于O型;

组合逻辑电路-血型匹配电路

电子课程设计 血型匹配电路 学院: 班级: 姓名: 学号: 指导教师:

每个人都拥有各自不同的血型,但并不是谁都清楚自己能接受哪些血型的人献血,能给哪些人献血。在献血、受血的时候,如果不清楚而搞错了,就会出很大问题。例如:如果B型血输给O型血,可引起凝集反应,也就是说血液凝结在一起,堵塞小血管,发生血液循环障碍,从而破坏肾功能,严重时甚至可致人死亡。 血型匹配指示器能够在操作人按下自己血型的对应按钮后,通过指示灯告诉操作人他的血能为哪些血型的人群服务;同时,操作人也能够知道按下某个按钮时,若自己血型对应的指示灯亮了,意味着自己可以接受这个血型的人群的献血。有了这个血型匹配指示器后,在受血时,就不会出现那些不必要的错误而造成不必要的严重后果。 我们这次制作的血型匹配指示器,每一个开关都代表一个受血者或献血者的血型,按下相应的开关后,亮绿灯代表是可以的,亮红灯代表不可以。 下面就是我这次制作的详细过程。

组合逻辑电路 ——血型匹配电路 一、题目: 人的血型由A、B、AB、O四种。输血时输血者的血型与受血者血型必须符合图1中用箭头指示的授受关系。判断输血者与受血者的血型是否符合上述规定,要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。(提示:用两个逻辑变量的4种取值表示输血者的血型,例如00代表A、01代表B、10代表AB、11代表O。)如图1-1. 图1-1 血型匹配图 二、分析: 人的血型由A、B、AB、O四种刚好可以用两个逻辑变量表示,在这里我们不妨设00代表血型A、01代表血型B、10代表血型AB、11代表血型O。由于我们是要来判断两个血型是否匹配,则我们需要用四个逻辑变量,通过对四个逻辑变量进行逻辑设计,从而得到所需要求电路。 题目要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。74LS151只有8个数据输入端要来实现四个逻辑变量(16个数据最小项)的数据逻辑组合。这是必须有一个逻辑变量接到74LS151的数据输入端。

血型匹配

H a r b i n I n s t i t u t e o f T e c h n o l o g y 设计说明书(论文) 课程名称:数字电子技术 设计题目:血型匹配组合逻辑电路 院系:自动化测试与控制系 班级: 设计者: 学号: 设计时间:2010年12月23日

1、实验题目 人的血型有A、B、AB、O四种。输血时输血者的血型与受血者血型必须符合图1中用箭头指示的授受关系。判断输血者与受血者的血型是否符合上述规定,要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。(提示:用两个逻辑变量的4种取值表示输血者的血型,例如00代表A、01代表B、10代表AB、11代表O。) A B AB O A B AB O 图1 2、设计思路 由逻辑关系列出真值表 A 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 B 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 C 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 D 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 Y 1 0 1 0 0 1 1 0 0 0 1 0 1 1 1 1 根据真值表,列出逻辑表达式: Y=A B C D+A B C D+A B C D+A BC D+A B C D+AB C D+ AB C D+ABC D+ABCD

按照逻辑表达式,设计逻辑电路图: 74LS151有三个输入端口,在逻辑函数的四个输入变量中,任意确定三个变量作为74LS151输入量,因此选择方案有多种,例如确定ABC、BCD、ABD、ACD等为74LS151输入量,不同的方案得到的标准与或式的形式不同,因此电路结构也不同。 方案一:如果ABC为选择变量,且设A为高位,则逻辑函数Y的与或标准型表达式为 Y=A B C D+A B C D+A B C D+A BC D+A B C D+AB C D+ AB C D+ABC D+ABCD =m0D + m1D + m2 D + m3D + m5D + m6 + m7 可以推出:D4=0 D6=D7=1 D2=D D0=D1=D3=D5=D 方案二:如果BCD为选择变量,且设B为高位,则逻辑函数Y的与或标准型表达式为 Y=A B C D+A B C D+A B C D+A BC D+A B C D+AB C D+ AB C D+ABC D+ABCD =A m0+ m2 + A m4 + m5+ m6+A m7 可以推出:D0=A D4=D7=A D2=D5=D6=1 D1=D3=0 在此仅列ABC、BCD为选择变量的情形,ABD、ACD为变量的情形与方案一、方案二类似。对比方案一、二,都必须用到一片74LS151与一片74LS00,两方案的复杂程度类似。因此,选择任一方案皆可。本实验选择第二方案,及以BCD为选择变量。

血型配对表

血型配对表 2009年04月21日星期二父母血型子女可 能 子女不可能 A 及A A ,0 B ,AB A 及 B A , B,AB ,0 A 及A B A ,B,AB 0 A 及0 A ,0 B ,AB B 及B B ,0 A ,AB B 及AB A , B,AB 0 B 及0 B ,0 A ,AB AB 及AB A , B,AB 0 AB 及0 A ,B AB ,0 0 及0 0 A ,B, AB 男女血型爱情配对表 血型会造就情人,不同的血型搭配,往往奇妙激荡同一电波;频道相同,当然就极易迸 裂爱的火花了。根据新郎新娘有关血型的实际调查发现,B型男孩看0型女孩最对眼,A型 男孩最容易对B型女孩萌生爱意,而同是0型者,较难产生爱的火焰。 血型爱情配对表,根据血型测试你和恋人的缘分和婚姻,仅供参考! ? AB型和AB型:经不起外部攻击。 这是一组比“ B—B”更单一化的关系,在他们之间似乎只有知识交流的关系。 容貌、社会能力、技术等因素外,在待人方式、性格及个性等方面,双方都抱有不屑一顾的 态度。特别在男女关系,不少AB型男女明确表示不愿与AB型人交往。日常生活方面的相 互配合也很难说是好的。笔者偶尔也拜访清一色的AB型家庭,那种一家子各行其是的景象 是令人惊讶的,甚至觉得他们相互间都是漠不关心的。可是,漠不关心的AB型人对兴趣爱 好、工作等知识性问题却有共同的语言,相互很谈得拢,而且还常常相互忠告。相同血型 的人,最好能把气质以外的因素拉开差距,如出身,职业、负责的业务、地位及年龄差别等。 AB型同伴在工作上能建立起极好的上下级关系。他们之间信息畅通、配合默契。甚至在长时间不对话的情况下,也能确信对方在考虑同一个问题。堪称富有理智和信任的关系。在企 业或其它一些部门里常可以看到这样的上下级关系。不管怎么说,由于AB型人之间缺乏气 质上的和人类间应有的吸引因素,所以虽然有的机构里AB型人较多,但能自然地长久相处 的AB型组合还是不多见的。他们似有脆弱性,受到外部压力和冲击时较易散伙。 * AB型和A型:由相爱、尊敬、定局 这一组合常见于相亲相爱的夫妇和情侣;其次是朋友以及相处得好的同伴关系。这组关系总的感觉是,他们似乎较难形成配合默契的工作关系。简明地说,这是一组AB型的取决 于情感的性格关系。A型人给人的印象虽有刚柔之异,但其总的形象是:持重而讲信用。 这种内在气质形成文雅端庄的仪态,对AB型人颇有吸引力。另外,A型人敢于承担责任, 除外表

从血型看婚姻配对

从血型看婚姻配对 你和伴侣的血型互动关系是什么?来看看夫妻血型配对的一句话概括吧,其中准确率将达到75%哦,因为环境中改变因素是15% 父母遗传基因改变因素是10%! 老公A+老婆A 最般配的夫妻 看上去关系平淡,仅两人时十分亲密 老公A +老婆O 死板的夫妻 墨守陈规,拘泥于习惯 老公A+ 老婆B 地位颠倒的夫妻 丈夫被妻子的行动所控制 老公A+ 老婆AB 做游戏似的夫妻 妻子完全依赖于丈夫 老公O+ 老婆O 互相竞争的夫妻 往往彼此制约 老公O +老婆A 男人做主的夫妻 丈夫专心于工作,妻子操持家务 老公O+老婆 B 扰人的夫妻 争吵是和睦的证明 老公O+ 老婆AB 绝情的夫妻 钱尽情绝 老公B +老婆B

我行我素的夫妻 不为将来忧虑 老公B+ 老婆O 女人做主的夫妻 妻子以实力压制丈夫 老公B+ 老婆A 饶舌的夫妻 以口角为消遣 老公B+ 老婆AB 能干的夫妻 在各自道路上求得发展 老公AB +老婆AB 难以理解的夫妻 过于接近而觉得气闷 老公AB+ 老婆A 依赖妻子的夫妻 丈夫把妻子当作母亲的替身 老公AB +老婆O 迥异的夫妻 彼此间需要保持一段距离 老公AB+ 老婆B 爱之奴式夫妻 妻子离不开丈夫 补充: 相同血型的夫妻在每一对中有不同的内容,但平均说来,这类夫妇比较稳定,不易破裂。 从男女感情来说,相同血型虽不会象辅助关系那样产生强烈的吸引,但很容易出现心心相印的感情。

这种感情表现为男女双方在共同工作或谈论相同话题时,经常产生相同的想法和一致的见解,随之而产生一种志同道合的感情。 一般来说,这种家庭缺少甜蜜的气氛,两人关系比较淡薄,缺乏刺激,相互不怎么有劲。尽管如此,这样的夫妻在长期生活中,却非常稳定,很少破裂。 这几类夫妻关系,感情上虽较淡薄,但在共同谈论话题和思想方面容易达成一致。与其说他们是一对情投意合的夫妻,不如说是一对并肩前进的夫妇。

实验二 组合逻辑电路

实验二组合逻辑电路 一、实验目的 1.掌握数据选择器的功能和应用方法; 2.掌握显示译码器的功能和使用方法; 3.掌握组合数字电路的设计和实现方法。 二、预习要求 1.复习译码器和数据选择器的工作原理; 2.复习有关组合电路设计方法的知识; 3.阅读74LS138和74LS151的引脚排列图及功能表; 4. 设计实验内容所要求的数据记录表格。 三、理论准备 1.概述 组合逻辑电路又称组合电路,组合电路的输出只决定于当时的外部输入情况,与电路过去状态无关。因此,组合电路的特点是无“记忆性”。在组成上组合电路的特点是由各种门电路连接而成,而且连接中没有反馈线存在。所以各种功能的门电路就是简单组合逻辑电路。 组合逻辑电路的输入信号和输出信号往往不止一个,其功能描述方法通常有函数表达式、真值表、卡诺图和逻辑图等几种。 组合逻辑电路的分析与设计方法,是立足于小规模集成电路分析和设计基本方法之一。 2.组合逻辑电路的分析方法 分析的任务是:对给定的电路求解其逻辑功能,即求出该电路的输出与输入之间的逻辑关系,通常是用逻辑式或真值表来描述,有时也加上必须的文字说明。 分析的步骤: (1)逐级写出逻辑表达式,最后得到输出逻辑变量与输入逻辑变量之间的逻辑函数式。 (2)化简。 (3)列出真值表。 (4)文字说明 上述四个步骤不是一成不变的。除第一步外,其它三步根据实际情况的要求而采用。 3.组合逻辑电路的设计方法 设计的任务是:使用中、小规模集成电路来设计组合电路是最常见的逻辑电路,由给定的功能要求,设计出相应的逻辑电路。

设计的一般步骤如图3-1所示: 根据设计任务的要求建立输入、输出变量,并列出真值表。然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式。并按实际选用逻辑门的类型修改逻辑表达式。 根据简化后的逻辑表达式,画出逻辑图,用标准器件构成逻辑电路。最后,用实验来验证设计的正确性。 需要注意的是,在使用中规模集成的组合逻辑电路设计时,需要把函数式变换成适当的形式(而不一定是最简式)。每一种中规模集成器件都有其确定的逻辑功能,并可以写成逻辑函数式的形式。如果要用这些器件构成所需的逻辑电路,必须要把产生的逻辑函数变换成与所用器件的逻辑函数类似的形式。 四、实验内容及要求 1.电机安全运行逻辑电路的设计(验证型) 要求:设有甲、乙、丙三台电机,它们运转时必须满足这样的条件,即任何时间必须所有电机同时运行,如不满足该条件,就输出故障报警信号,以提醒维护人员前去修理。试分别用以下两种方法完成该电路的设计并在逻辑实验箱上安装测试之。 (1)用与非门实现该逻辑电路。 (2)用译码器及必要的门电路设计此逻辑电路。 2.血型配对指示器逻辑电路的设计(验证型) 要求:人类由四种基本血型— A 、B 、AB 、O 型。输血者与受血者的血型必须符合下述原则:O 型血可以输给任意血型的人,但O 型血的人只能接受O 型血;AB 型血只能输给AB 型血的人,但AB 血型的人能够接受所有血型的血;A 型血能给A 型与AB 型血的人;而A 型血的人能够接受A 型与O 型血;B 型血能给B 型与AB 型血的人,而B 型血的人能够接受B 型与O 型血。试设计一个检验供血者与受血者血型是否符合上述规定的逻辑电路,如果输血者的血型符合规定电路,输出高电平(提示:电路只需要四个输入端,它们组成一组二进制数码,每组数码代表一对供血与受血的血型对)。其关系示意如图3-2所示。 试用以下两种方法设计一个血型检验电路,当供血者和受血者血型符合规定时, 电路输 图 3-2 图3-1 组合逻辑电路设计流程图

相关主题
文本预览
相关文档 最新文档