当前位置:文档之家› 高速数字电路设计与实现

高速数字电路设计与实现

高速数字电路设计与实现
高速数字电路设计与实现

高速数字电路设计与实现

?高速数字电路简介

?信号完整性

?电路的调试与测试

?电路板级设计

1、高速数字电路简介

?电磁继电器、电子管、晶体管、集成电路

?空中飞线连接、单面敷铜板、双层电路板、多层电路板

?从数字电路的发展来看,高速是电路发展的趋势

?高速数字设计和低速数字设计相比最大差异在于无源元件的行为。这些无源元件包括导线、电路板、集成电路的封装和电路板上的过孔等等。

?在低速电路中,无源电路元件仅有封装部分对电路造成部分的影响

?在高速电路中,所有无源电路元件都影响电路的性能。

?高速数字设计就是研究这些无源电路元件对电路造成的各种影响,如:对信号传输的影响(振铃和反射),信号间的相互作用(串扰),和自然界的相互作用(电磁干扰)等等

?到底多高的速度才能称为高速?

–目前还没有一个权威的频率界限,工程上一般认为超过30MHz就是高速电路,也有的人认为是25MHz 或50MHz。

–然而在高速电路的设计中,我们更关心的是信号的上升、下降时间。对于频率不高,但是边沿陡峭的信号仍然会存在某些高频信号的特性。

–由于频率较高的信号边沿必定很陡,所以通常把这二者混为一谈。

?而在高速电路中,由于时钟速率的提高,电路中的连线不能够再被当作理想导线,应该看成是传输线,电路通常需要用分布参数模型来分析

?工程上一般认为,对于印刷电路板上的走线或点对点的电导线长度只要大于上升沿长度的1/6,电路就体现出分布参数特性。

2、信号完整性

?由集成电路芯片构成的电子系统更是朝着大规模、小体积、高速度的方向发展的。

?信号完整性(Signal Integrity,简称SI)是指在信号线上的信号质量,即实际传输信号与理想信号的一致

性。

?信号质量差(不完整)的原因主要有:反射、串扰、地弹等等

?不完整的信号现象有:过冲、欠冲、阻尼震荡、非单调等。

3、传输线理论

?传输线是微波技术中最重要的基本元件之一,,传输线的研究涉及很多复杂的理论。?在高速数字设计中只涉及到四种:同轴电缆、双绞线、微带线和带状线

?最重要参数:传输线的特性阻抗和信号在传输线中的时延。

?PCB板中的传输线分析

–对某参数:

?微带传输线

?带状传输线

–对于同样的电介质,

?微带传输线的传输速度要比带状传输线的快

?一般微带传输线的阻抗也比带状传输线的高。

4、反射及端接技术

?传输线上只要出现阻抗不连续点就会出现信号的反射

现象

?信号线的源端和负载端、过孔、走线分支点、走线的拐

点等位置都存在阻抗变化,会发生信号的反射。

?如果负载阻抗小于传输线特性阻抗,反射电压为负,反

之,如果负载阻抗大于传输线特性

阻抗,反射电压为正。

?反射造成了信号振铃现象,如果

振铃的幅度过大,一方面可能造成

信号电平的误判断,另一方可能会

对器件造成损坏。

?信号到负载端后部分信号会向源

端反射,这部分信号由负载端反射

系数决定

?从负载端反射回来的信号经过传

输线又传回源端,源端有将其一部分反射回负载端,这部分由源反射系数决定:

?对于理想的情况,希望在负载端得到的信号没有任何振铃,有三种方法可以达到这样的目的:

–一是使负载反射系数为0,即,这可以消除信号的一次反射,可以采用负载端并行端接来实现;–二是使源端反射系数为0,即,这可以消除信号的二次反射,可以采用源端串行端接来实现;–三是使用短线。在信号走线可以认为是短线的情况下,可视为1,信号传输没有幅度衰减和相位时延。

?优点:每条线只需要一个端接电阻,无需与电源相连接,消耗功率小。

?缺点:当信号逻辑转换时,由于的分压作用,在源端会出现半波幅度的信号,会出现不正确的逻辑态。并且由于在信号通路上加接了元件,增加了RC时间常数从而减缓了负载端信号的上升时间,因而不适合用于高频信号通路(如高速时钟等)。

?简单并行端接–在输出为高电平状态时,这种并行端接电路消耗的电流过大,对于50Ω的端接负载,维持TTL高电平消耗电流高达48mA,因此一般器件很难可靠地支持这种端接电路。

?其他信号反射原因:

–印制板电路中的过孔

–走线分支点

–走线拐点

5、串扰及其改善

?串扰是指当信号在传输线上传播时,因电磁耦合对相邻的传输线产生的不期望的电压噪声干扰。

?串扰的改善方法

–地平面在串扰的问题上起着至关重要的作用

–拉大两条信号线之间的距离,减小耦合程度

–相邻信号层信号尽量相互垂直或成一定的角度

–高速信号线尽量走在贴近地平面的信号层里,以减小走线与地平面之间的距离

–减小高速信号走线的长度,否则高速信号附近的会有更多的信号受其影响

–在速度满足要求的前提下,使用上升沿较缓的驱动器

6、地弹及其改善

?由于输出信号的翻转导致芯片内部参考地电压的飘移

叫做地弹

?接地反弹的噪声主要是源自于电源

路径以及IC封装所造成的分布电

感。

?当器件输出信号有翻转时,就会产

生噪声短脉冲。

?当系统的速度越快或同时转换逻辑

状态的I/O管脚个数越多时就越容

易造成接地反弹。

?地弹的改善方法

–接地反弹与引线电感成正比,所以应尽量减

少分布电感量

–地弹与负载电容成正比,所以应该尽量采用

输入电容较小的器件

–地弹与成正比,所以应尽量采用上升沿变化

缓的器件

–地弹与管脚数N成正比,所以在实际的数字

系统中应尽量避免地址/数据总线出现由FFFF

变成0000的情况2rTV?

1、电路调试与测试原因

?随着数字系统规模的增大、复杂程度的提高,电路测试及可靠性设计变得越来越重要。

?为实现复杂系统的有效测试所花费的时间通常比完成功能设计的时间还要长

?目前器件的管脚数高达1000,不远的将来要增加到2000,4000和更高。使用这些高集成度的封装导致

超密,超复杂的系统都挤在一个20层的使用微过孔和内建(build-up)技术的电路板上。

?系统设计中的最基本要求之一就是系统的可测试性

2、电路的可测性

?可测试性指的是产品能及时准确地确定其状态(可工作、不可工作、性能下降)和隔离其内部故障的设计特性。

?电路板的可测性是指电路板调试过程中集成电路芯片功能的可测性和电路板上电路功能可测性

?集成电路的可测试性方法有多种:针对性可测试性设计方法、扫描路径法、内建自测试、边界扫描技术等

?对电路板级可测性设计的一些考虑

–信号探测点

–子系统的独立性

–手工复位

–跳线和拨码开关

?有三个概念应当始终贯穿在电路设

计过程中:

–能见度(Visibility)

–简化度(Simplicity)

–灵活性(Flexibility)

3、JTAG测试电路

?JTAG测试电路遵循IEEE 1149.1-1990标准,即IEEE的标准测试访问端口和边界扫描结构。

?由联合测试行动组(Joint Test Action Group, JTAG)制定。

4、测量仪器

?测量仪器对于电路调试和测试来

说至关重要,高速电路的测量对于

以仪器性能指标的要求也更高。

?高速电路的测试需要考虑仪器对

电路的影响

?高速电路的测量经常使用的仪器

有示波器、逻辑分析仪、频谱分析

仪和时域反射分析仪。

1、电路板级设计流程

?创造一个电路板或系统级的电子产品设计的主要步骤有:

–概念(concept):定义技术需求、描述系统行为和决定设计的整体结构

–原理图设计(schematiccapture):通过描述产品功能来获得设计原理图

–板图设计(layout)阶段包含确定电路板上器件的最优布局和布线,还需要考虑用于多个电路板之间连接的电缆或者连接器的数量

–制造(manufacture)和发布

2、设计流程中的仿真验证

?电路板传统的设计方式是设计然后建立一个物理(硬件)原型,把它放在测试工作台上进行调试直至可以工作

?现在对系统工程师和布局布线工程师来说有许多可用的计算机辅助(computer-aided)仿真验证和分析工具。

?*模拟信号仿真,*混合信号仿真

?*可制造性设计(DFM)?*射频(RF)

?*设计规则检查(DRC)?*数字信号仿真

?*信号完整性(SI)?*电气规则检查(ERC)

?*焊接/热剖析(profile)?*电磁兼容性(EMC)*电磁干扰(EMI)

?*热

?*时序

?*机械特性(振动、冲击、受压),*可靠性

?仿真模型包括

–数字器件的VHDL,Verilog,C模型;

–器件驱动和负载的IBIS模型;电源开关

–放大器,稳压器,二极管和三极管,混合信号模/数转化器和比较器的SPICE模型

–VHDL-AMS(混合信号,IEEE1076.1)

–Verilog-A(模拟)和VerilogAMS(混合信号)3、通用信号处理机设计

?指导思想

–标准化

–模块化

–可重构

–可配置

–可编程

–易开发

3.1 系统设计的目标

?基于标准总线的通用信息处理机?多处理器并行系统

?“异构处理器的通用结构”

?高速数据传输能力

?标准化、模块化、可扩展

?具有二次开发能力软硬件系统

3.2 系统总线设计

?以C-PCI标准总线技术为基础,配以高速数据传输总线、精确定时总线、以太网的4套总线相结合的并行处理机方案。

?C-PCI总线提供一个通用的平台,在本系统中的主要功能有:传送控制信令、上传数据和系统配置。?对于大带宽的雷达信号数据,采用高速数据总线传送。在物理上,它由多路开关矩阵Crossbar构成,通过J3~J5将各个板卡连接。

?定时控制总线将必须的时序信号实时地、同步地送到各个DSP上,控制整个系统的工作节奏。

3.4 某通用信号处理板的设计

?DSP选型–4个C6701浮点处理器–1个C6202定点处理器–主从模式?处理能力达–8400MIPS或4GFLOPS+2000MIPS?数据传输速率–160Mbytes/s–FPDP、RaceWay

?时序设计

–计算‘富裕时间’tmagin?在考虑了器件手册提供的最坏情况之后,得到的时序上的一个建立或保持时间裕量

–分析系统对‘富裕时间’tmagin的需求

?其要求往往随不同的系统而各异,而且和布线的情况以及负载的情况密切相关

?对于一个精心设计的电路板而言,输出信号的建立(setup)时间以及保持时间(hold)的富裕量大概在0.5ns 左右就够了

?PCB设计

–散热系统的考虑点

?C6000芯片的板子周围的空气流速?环境温度

?芯片封装与散热片的结合方式/类型?电路板的设计与布线

–建立散热模型

–散热片的性能曲线

?测试

–DSP工作频率测试

–存储器测试

–数据传输速率测试

–PCI总线测试

–DSP间通信测试

–输入输出测试

–DSP全速全资源运行测试

数字电路课程设计题目选编

数字电路课程设计题目选编 1、基于DC4011水箱水位自动控制器的设计与实现 简介及要求:水箱水位自动控制器,电路采用CD4011 四与非门作为处理芯片。要求能够实现如下功能:水 箱中的水位低于预定的水位时,自动启动水泵抽水; 而当水箱中的水位达到预定的高水位时,使水泵停止 抽水,始终保持水箱中有一定的水,既不会干,也不 会溢,非常的实用而且方便。 2、基于CD4011声控、光控延时开关的设计与实现 简介及要求:要求电路以CD4011作为中心元件,结合外围 电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭 状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态, 当有人经过该开关附近时,脚步声、说话声、拍手声等都能开 启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭, 灯灭。 3、基于CD4011红外感应开关的设计与实现 在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。 4、基于CD4011红外线对射报警器的设计与实现 设计一款利用红 外线进行布防的防盗 报警系统,利用多谐振 荡器作为红外线发射 器的驱动电路,驱动红 外发射管,向布防区内 发射红外线,接收端利用专用的红外线接收器件对发射的 红外线信号进行接收,经放大电路进行信号放大及整形, 以CD4011作为逻辑处理器,控制报警电路及复位电路,电

路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。 5、基于CD4069无线音乐门铃的设计与实现 音乐门铃已为人们所熟知,在一些住宅楼中都 装有音乐门铃,当有客人来访时,只要按下门铃按 钮,就会发出“叮咚”的声音或是播放一首乐曲, 然而在一些已装修好的室内,若是装上有线门铃, 由于必须布线,从而破坏装修,让人感到非常麻烦。 采用CD4069设计一款无线音乐门铃,发射按键与接 收机间采用了无线方式传输信息。 6、基于时基电路555“叮咚”门铃的设计与实现 用NE555集成电路设计、制作一个“叮咚”门铃,使该装置能够 发出音色比较动听的“叮咚”声。 7、基于CD4511数显八路抢答器的设计与实现 CD4511是一块含BCD-7段锁存、译码、驱动电路于一体的集成 电路。设计一款基于CD4511八路抢答器,该电路包括抢答,编 码,优先,锁存,数显和复位。 8、基于NE555+CD4017流水彩灯的设计与实现 以NE555和CD4017为核心,设计制作一个流水彩灯,使之通 过调节电位器旋钮,可调整彩灯的流动速度。 9、基于用CD4067、CD4013、 NE555跑马灯的设计与实 现

数字电路与逻辑设计模拟题

《数字电路与逻辑设计》模拟题(补) 一. 选择题(从四个被选答案中选出一个或多个正确答案,并将代号写在题中的括号内) 1.EEPROM 是指( D ) A. 随机读写存储器 B. 一次编程的只读存储器 C. 可擦可编程只读存储器 D. 电可擦可编程只读存储器 2.下列信号中,( B C )是数字信号。 A .交流电压 B.开关状态 C.交通灯状态 D.无线电载波 3.下列中规模通用集成电路中,( B D )属于时序逻辑电路. A.多路选择器74153 B.计数器74193 C.并行加法器74283 D.寄存器74194 4.小数“0”的反码形式有( A D )。 A .0.0……0 B .1.0……0 C .0.1……1 D .1.1……1 5.电平异步时序逻辑电路不允许两个或两个以上输入信号(C )。 A .同时为0 B. 同时为1 C. 同时改变 D. 同时作用 6.由n 个变量构成的最大项,有( D )种取值组合使其值为1。 A. n B. 2n C. n 2 D. 12-n 7.逻辑函数∑= )6,5,3,0(),,(m C B A F 可表示为( B C D ) 。 A.C B A F ⊕⊕= B.C B A F ⊕⊕= C.C B A F ⊕⊕= D.C B A F ⊙⊙= 8.用卡诺图化简包含无关条件的逻辑函数时,对无关最小项( D )。 A .不应考虑 B.令函数值为1 C .令函数值为0 D .根据化简的需要令函数值为0或者1 9.下列逻辑门中,( D )可以实现三种基本运算。 A. 与门 B. 或门 C. 非门 D. 与非门 10.设两输入或非门的输入为x 和y ,输出为z ,当z 为低电平时,有( A B C )。 A .x 和y 同为高电平 B . x 为高电平,y 为低电平 C .x 为低电平,y 为高电平 D . x 和y 同为低电平 11.下列电路中,( A D )是数字电路。 A .逻辑门电路 B. 集成运算放大器 C .RC 振荡电路 D. 触发器 12.在下列触发器中,输入没有约束条件的是( C D )。 A.时钟R-S 触发器 B.基本R-S 触发器 C.主从J-K 触发器 D.维持阻塞D 触发器 13.标准与-或表达式是由( B )构成的逻辑表达式。 A .与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 14.设计一个模10计数器需要( B )个触发器。 A . 3 B. 4 C .6 D .10 15.表示任意两位无符号十进制数至少需要( B )二进制数。 A .6 B .7 C .8 D .9 16.4线-16线译码器有( D )输出信号。 A . 1 B. 4 C .8 D .16

高速数字电路设计方案

高速数字电路设计方案高速数字设计 高速数字电路设计跟低速数字电路设计不同的是:他强调组成电路的无源部件对电路的影响。这些无源器件包括导线、电路板和组成数字产品的集成电路。在低速设计中,这些部件单纯 的只是电路的一部分,根本不用多做考虑,可是在高速设计中,这些部件对电路的性能有着直接的影响。 高速电路设计研究的主要内容是以下几个方面: 1、无源电路单元是如何影响信号传输的(振铃和反射)。 2、信号间的相互影响(串扰)。 3、与周围环境间如何影响(电磁干扰)。 我们在下面的几个小节里面首先介绍一下频率、时间和距离相互之间的一些关系。

1.1 频率和时间 在低频电路里面,我们可以随便直接使用一个导线把两个电路连接起来,但是在高频电路中我们不能这样做,我们只能使用一个宽一些并且是平整的物体才可以把两个电路短接起来。这是因为在低频电路中没有什么影响的导线,到了高频电路中,就变成了一个电感。这是一个普遍的现象吗?难道真的是一个电路不能在可变化的频率范围内工作?电路的参数真是对频率敏感的吗?

是的。如果我们给一个电路画出以频率为底的对数曲线,没有一个电路参数能够在频率增加10倍或者20倍以后保持不变的。因此必须考虑每个电参数的有效频率范围。 我们先来研究一下在频率很低(周期很长)的电路中的电路 特性,然后我们再来研究在高频时电路会有什么变化。 如果一个正弦波的频率是10-12 HZ,也就是说他完成一个周期需要30000年。这样的一个波形在TTL电平里每天的变化不会超过1微伏,这样的频率确实太低了,不过他还没有等于0。 这个时候我们用示波器来观察这个波形,实际上我们观察不到任何变化,因为它的周期太长了,要等到他变化完成一个周期,设备都已经风化了。 相反我们再来考虑一下如果频率是10+12 又会如何?这时候,参数变化太大了,本来在低频时候是0.01欧姆的电阻,当频率到了1GHZ 时,由于趋肤效应,变成了1欧姆,不但如此,还增加了一个50欧姆的感抗。 频率到底在多高的范围内会对高速电路设计造成影响?图1.1是一个随机数字脉冲与它的频谱 重要部分的关系图,回答了这个问题。

日本的电子工程师们写的一套书

以下是日本的电子工程师们写的一套书,相当好,堪称电子系统设计里面的经典之作!!! 不管你们搞不搞电子设计,能精读其中的几本,也会使你们能像工程师那样思考问题,变得更专业!!! 最重要的是,这套书非常精简,有一定的理论解释,同时更偏重于工程应用和设计实例,比较适合广大电子类工科学生和电子设计爱好者们!!! 电子系统设计,模拟电路很重要,也是每个电子系统设计者必备的基础知识和基本技能,模拟电路的设计水平往往决定了电路系统的整体指标和整体性能,因此希望你们不管自己偏向于数字方向、嵌入式方向还是模拟电路方向,都要最起码了解模拟电路的基本原理和常见电路形式。 当然,这些书都是希望大家在学有余力的情况下,根据自己的喜好和方向选择性阅读,切不可耽误自己正常上课的时间和精力。因为本人是做仪器仪表和信号类方向,考虑到模拟电路的重要性,只推荐几本信号相关的模拟电路书籍(红色标记),供大家课余时间阅读。 张军,拜上。写于2010年10月8号,电子科大清水河校区。

一、实用电子电路设计丛书(系列) 1、晶体管电路设计(上) 2、晶体管电路设计(下) 3、数字逻辑电路和ASIC设计 4、数字系统设计 5、OP放大电路设计 6、振荡电路的设计与应用 二、图解实用电子技术丛书(系列) 1、OP放大器应用技巧100例 2、模拟技术应用技巧101例 3、传感器应用技巧141例 4、存储器IC的应用技巧 5、电子元器件应用技术 6、锁相环PLL电路设计与应用 7、电子元器件的选择与应用 8、LC滤波器设计与制作 9、高频电路设计 10、高低频电路设计与制作—从放大电路的设计到安装 技巧 11、数字电路设计 12、高频电路设计与制作

数字电路与逻辑设计实验实验四

中山大学南方学院 电气与计算机工程学院 课程名称:数字电路与逻辑设计实验实验题目:译码显示电路

附:实验报告 专业:电子信息科学与技术年级:18 完成日期:2020年7月05日学号:182018010 姓名:叶健行成绩: 一、实验目的 (一)掌握中规模集成译码器的逻辑功能和使用方法。 (二)熟悉数码管的使用。 二、实验原理 (一)数码显示译码器 1、七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示器,图1 (a)、(b)为共阴管和共阳管的电路,(c)为两种不同出线形式的引出脚功能图。 一个LED数码管可用来显示一位0~9十进制数和一个小数点。小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~2.5V,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。 (a) 共阴连接(“1”电平驱动)(b) 共阳连接(“0”电平驱动)

(c) 符号及引脚功能 图1 LED 数码管 2、BCD 码七段译码驱动器 此类译码器型号有74LS47(共阳),74LS48(共阴),CC4511(共阴)等,本实验系采用74LS48 BCD 码锁存/七段译码/驱动器。驱动共阴极LED 数码管。图2为74LS48引脚排列。 其中 A 、B 、C 、D — BCD 码输入端 a 、 b 、 c 、 d 、 e 、 f 、 g — 译码输出端,输出“1”有效,用来驱动共阴极LED 数码管。 LT — 灯 测试输入端,LT =“0”时,译码输出全为“1” BI R — 灭 零 输入端,BI R =“0”时,不显示多余的零。 RBO /BI — 作为输入使用时,灭灯输入控制端; 作为输出端使用时,灭零输出端。 (二)扫描式显示 对多位数字显示采用扫描式显示可以节电,这一点在某些场合很重要。对于某些系统输出的的数据,应用扫描式译码显示,可使电路大为简化。有些系统,比如计算机,某些A/D 转换器,是以这样的形式输出数据的:由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD 码,如图(三)所示。图中的Ds 称为选通信号,并假定系统按先高位后低位的顺序送出数据,当Ds1高电平送出千位数,Ds2高电平送出百位数,……一般Ds 的高电平相邻之间有一定的间隔,选通信号可用节拍发生器产生。 如图(四)所示,为这种系统的译码扫描显示的原理图。图中各片 LED

数字电路设计实例

数字电路综合设计案例 8.1 十字路口交通管理器 一、要求 设计一个十字路口交通管理器,该管理器自动控制十字路口两组红、黄、绿三色交通灯,指挥各种车辆和行人安全通过。 二、技术指标 1、交通管理器应能有效操纵路口两组红、黄、绿灯,使两条交叉道路上的车辆交替通行,每次通行时间按需要和实际情况设定。 2、在某条道路上有老人、孩子或者残疾人需要横穿马路时,他们可以举旗示意, 执勤人员按动路口设置的开关,交通管理器接受信号,在路口的通行方向发生转换时,响应上述请求信号,让人们横穿马路,这条道上的车辆禁止通行,即管理这条道路的红灯亮。 3、横穿马路的请求结束后,管理器使道口交通恢复交替通行的正常状态。 三、设计原理和过程: 本课题采用自上而下的方法进行设计。 1.确定交通管理器逻辑功能 ⑴、十字路口每条道路各有一组红、黄、绿灯,用以指挥车辆和行人有序地通行。其中红灯亮表示该条道路禁止通行;黄灯亮表示停车;绿灯亮表示通行。因此,十字路口车辆运行情况有以下几种可能: ①甲道通行,乙道禁止通行; ②甲道停车线以外的车辆禁止通行(必须停车),乙道仍然禁止通行,以便让甲道停车线以内的车辆安全通过; ③甲道禁止通行,乙道通行; ④甲道仍然不通行,乙道停车线以外的车辆必须停车,停车线以内的车辆顺利通行。 ⑵、每条道路的通车时间(也可看作禁止通行时间)为30秒~2分钟,可视需要和实际情况调整,而每条道路的停车时间即黄灯亮的时间为5秒~10秒,且也可调整。 ⑶、响应老人、孩子或残疾人特殊请求信号时,必须在一次通行—禁止情况完毕后, 阻止要求横穿的那条马路上车辆的通行。换句话说,使另一条道路增加若干通行时间。 设S1和S2分别为请求横穿甲道和乙道的手控开关,那么,响应S1或S2的时间必定在甲道通乙道禁止或甲道禁止乙道通两种情况结束时,且不必过黄灯的转换。这种规定是为了简化设计。 由上述逻辑功能,画出交通管理器的示意图如图8-1所示,它的简单逻辑流程图如图8-2所示。示意图中甲道的红、黄、绿灯分别用R、Y、G表示,而乙道的红、黄、绿灯分别用r、y、g表示。简单逻辑流程图中设定通行(禁止)时间为60秒,停车时间为10秒。

数字电路与逻辑设计习题-2016

数字电路与逻辑设计习题-2016

- 2 - 一、选择题 1. 以下表达式中符合逻辑运算法则的是 D 。 A.C ·C=C 2 B.1+1=10 C.0<1 D.A+1=1 2. 一位十六进制数可以用 C 位二进制数来表示。 A. 1 B. 2 C. 4 D. 16 3. 当逻辑函数有n 个变量时,共有 D 个变量取值组合? A. n B. 2n C. n 2 D. 2n 4. 逻辑函数的表示方法中具有唯一性的是 A 。 A .真值表 B.表达式 C.逻辑图 D.状态图 5. 在一个8位的存储单元中,能够存储的最大无符号整数是 D 。 A.(256)10 B.(127)10 C.(128)10 D.(255)10 6.逻辑函数F=B A A ⊕⊕)( = A 。 A.B B.A C.B A ⊕ D. B A ⊕ 7.求一个逻辑函数F 的对偶式,不可将F 中的 B 。 A .“·”换成“+”,“+”换成“·” B.原变量换成反变量,反变量换成原变量 C.变量不变 D.常数中“0”换成“1”,“1”换成“0” 8.A+BC= C 。

A .A+ B B.A+ C C.(A+B)(A+C) D.B+C 9.在何种输入情况下,“与非”运算的结果是 逻辑0。 D A.全部输入是0 B.任一输入是0 C. 仅一输入是0 D.全部输入是1 10.在何种输入情况下,“或非”运算的结果 是逻辑1。 A A.全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为 1 11.十进制数25用8421BCD码表示为 B 。 A.10 101 B.0010 0101 C.100101 D.10101 12.不与十进制数(53.5)10等值的数或代码 为 C 。 A.(0101 0011.0101)8421BCD B.(35.8)16 C.(110101.11)2 D.(65.4)8 13.以下参数不是矩形脉冲信号的参数 D 。 A.周期 B.占空比 C.脉宽 D.扫 描期 14.与八进制数(47.3)8等值的数为: B A. (100111.0101)2 B.(27.6)16 C.(27.3 )16 D. (100111.101)2 15. 常用的BCD码有 D 。 A.奇偶校验码 B.格雷码 C.ASCII码 D.余三码 - 3 -

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固和加深在课程教学中所学到的知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为今后从事生产和科研工作打下一定的基础。为毕业设计和今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试和维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路图。 1.总体方案的选择 设计电路的第一步就是选择总体方案。所谓总体方案是根据所提出的任务、要求和性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求和技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求和条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性和优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求和已选定的总体方案的原理框图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电路结构、降低成本。

数字电路与逻辑设计实验总结报告

第二次实验是Quartus11原理图输入法设计,由于是第一次使用Quartus11软 件,实验中遇到了不少问题,总结起来主要有以下几个: (1)在创建工程并且编译通过之后得不到仿真波形 解决方法:经过仔细检查,发现在创建符号文件时,未对其重新命名,使得符号文件名与顶层文件的实体名一样。在改变符号文件名之后成功的得到了仿真波形。 (2)得到的仿真波形过于紧密不便于观察 解决方法:重新对仿真域的时间进行设定,并且对输入信号的周期做相应的调整,最终得到了疏密有致的仿真波形。 实验总结及心得体会 通过本次实验我初步掌握了Quartus11的使用方法,并且熟悉了电路板的使用。在实验具体操作的过程中,对理论知识(半加器和全加器)也有了更近一步的理解,真正达到了理论指导实践,实践检验理论的目的。 实验操作中应特别注意的几点: (1)刚开始创建工程时选择的目标芯片一定要与实验板上的芯片相对应。 (2)连接电路时要注意保证线与端口连接好,并且注意不要画到器件图形符号的虚线框里面。 (3)顶层文件的实体名只能有一个,而且注意符号文件不能与顶层文件的实体名相同。 (4)保存波形文件时,注意文件名必须与工程名一致,因为在多次为一个工程建立波形文件时,一定要注意保存时文件名要与工程名一致,否则不能得到正确的仿真结果。 (5)仿真时间区域的设定与输入波形周期的设定一定要协调,否则得到波形可能不便于观察或发生错误。 心得体会:刚接触使用一个新的软件,实验前一定要做好预习工作,在具体的实验操作过程中一定要细心,比如在引脚设定时一定要做到“对号入座”,曾经自己由于这一点没做好耗费了很多时间。实验中遇到的各种大小问题基本都是自己独立排查解决的,这对于自己独立解决问题的能力也是一个极大地提高和锻炼,总之这次实验我获益匪浅。 第三次实验是用VHDL语言设计组合逻辑电路和时序逻辑电路,由于Quartus11软件在之前已经使用过,所以本实验的主要任务就是编写与实验要求相对应的VHDL程序。 总体来说此次实验比较顺利,基本没有遇到什么问题,但有几点需要特别注意。首先是要区分实体名称和结构体名,这一点是程序编写的关键。其次在时序逻辑电路的设计实验中时钟的设置很关键,设置不当的话仿真波形可能不正确。 通过本次实验我初步学会用VHDL语言编写一些简单的程序,同时也进一步熟悉了Quartus11软件的使用。 实验八彩灯控制电路设计与实现 一、实验目的 1、进一步了解时序电路设计方法

多功能数字钟电路的设计与制作

多功能数字钟电路的设计与制作 一、设计任务与要求 设计和制作一个多功能数字钟,要求能准确计时并以数字形式显示时、分、秒的时间,能校正时间,准点报时。 二、方案设计与论证 1.数字钟设计原理 数字电子钟一般由振荡器、译码器、显示器等几部分电路组成,这些电路都是数字电路中应用最广的基本电路。振荡器产生的1Hz的方波,作为秒信号。秒信号送入计数器进行计数,并把累计的结果以“时”、“分”、“秒”的数字显示出来。“秒”的计数、显示由两级计数器和译码器组成的六十进制计数电路实现;“分”的计数、显示电路与“秒”的相同;“时”的计数、显示由两级计数器和译码器组成的二十四进制计数电路实现。所有计时结果由七段数码管显示器显示。用4个与非门构成调时电路,通过改变方波的频率,进行调时。最后用与非门和发光二极管构成整点显示部分。

2.总体结构框图如下: 图14 总体框图 三、单元电路设计与参数计算 1.脉冲产生电路 图15 晶振振荡器原理图 图16 555定时器脉冲产生电路原理图 振荡器可由晶振组成(如图15),也可以由555定时器组成。图16是由555定时器构成的1HZ 的自激振荡器,其原理是: 第一暂态2、6端电位为Vcc 3 1 ,则输出为高电平,三极管不导通,电容C 充电,此 时2、6端电位上升。当上升至大于Vcc 3 2 时,输出为低电平,三极管导通,电容C 放电, 11 21 C 1 R C 2 R O

此时2、6端电位下降,下降至Vcc 3 1 时,输出高电平,以此循环。根据公式C R R f )2(43.121+≈ 得,此时频率为0.991。 图17 555定时器波形关系 图18 555定时器产生1Hz 方波原理图 2.时间计数电路 图19 74LS161引脚图 74LS161功能表 v V 2 3 V 1 3 v U 1 74L S 161D Q A 14Q B 13Q C 12Q D 11R C O 15A 3B 4C 5D 6 E N P 7E N T 10 ~L O A D 9~C L R 1 C L K 2

高速数字电路PCB设计中的阻抗控制

环测威官网:https://www.doczj.com/doc/cd17504456.html,/ 阻抗控制技术在高速数字电路设计中非常重要,其中必须采用有效的方法来确保高速PCB 的优异性能。 PCB上高速电路传输线的阻抗计算及阻抗控制 ?传输线上的等效模型 图1显示了传输线对PCB的等效影响,这是一种包括串联和多电容,电阻和电感(RLGC 模型)的结构。 串联电阻的典型值在0.25至0.55欧姆/英尺的范围内,并且多个电阻器的电阻值通常保持相当高。随着PCB传输线中增加的寄生电阻,电容和电感,传输线上的总阻抗被称为特征阻抗(Z 0)。在线直径大,线接近电源/接地或介电常数高的条件下,特征阻抗值相对较小。图3示出了具有长度dz的传输线的等效模型,基于该模型,传输线的特征阻抗可以推导为 公式:。在这个公式中,L“传感线”是指传输线上每个单位长度的电感,而C是指传输线上每个单位长度的电容。 ?PCB上传输线的阻抗和延迟计算公式 PCB上的传输线阻抗和延迟计算公式

环测威官网:https://www.doczj.com/doc/cd17504456.html,/ 在上面的公式中,Z 0表示阻抗(欧姆),W表示线的宽度(英寸),T表示线的粗细(英寸),H表示到地面的距离(英寸),是指衬底的相对介电常数,t PD是指延迟时间(ps / inch)。?传输线的阻抗控制布局规则 基于上述分析,阻抗和信号的单位延迟与信号频率无关,但与电路板结构,电路板材料的相对介电常数和布线的物理属性有关。这一结论对于理解高速PCB和高速PCB设计非常重要。而且,外层信号传输线的传输速度比内层传输速度快得多,因此关键线布局的排列必须考虑这些因素。 阻抗控制是实现信号传输的重要前提。但是,根据传输线的电路板结构和阻抗计算公式,阻抗仅取决于PCB材料和PCB层结构,同一线路的线宽和布线特性不变。因此,线路的阻抗在PCB的不同层上不会改变,这在高速电路设计中是不允许的。 本文设计了一种高密度高速PCB,板上大多数信号都有阻抗要求。例如,CPCI信号线的阻抗应为650欧姆,差分信号为100欧姆,其他信号均为50欧姆。根据PCB布线空间,必须使用至少十层布线,并确定16层PCB设计方案。 由于电路板的整体厚度不能超过2mm,因此在堆叠方面存在一些困难,需要考虑以下问题:1)。每个信号层具有与其相邻的图像平面,以保护阻抗和信号质量。 2)。每个电源平面都有完整的接地层,因此可以很好地保证电源的性能。 3)。电路板的堆叠需要平衡,避免电路板翘曲。

2018秋数字电路与逻辑设计实验课程要求及题目

2018~2019学年第一学期 《数字电路与逻辑设计实验(下)》课程要求 一、课程安排及要求: 本学期数字实验教学内容为综合课题设计,教学方式采用开放式实验教学模式,第7周和第10周实验按班上课,第8周和第9周实验室全开放,学生根据开放实验安排自行选择实验时间和地点,要求每人至少参加2次课内开放实验。 课程具体安排如下: 二、成绩评定 数字综合实验成绩由三部分组成: ●平时成绩:占总成绩的20% ●验收答辩:占总成绩的50% ●报告成绩:占总成绩的30% 实验报告评分标准如下(按百分制批改,占总成绩的30%):

三、实验题目 题目1 抽油烟机控制器的设计与实现 利用CPLD器件和实验开发板,设计并实现一个抽油烟机控制器。 基本要求: 1、抽油烟机的基本功能只有两个:排油烟和照明,两个功能相互独立互不影响。 2、用8×8双色点阵模拟显示烟机排油烟风扇的转动,风扇转动方式为如图1所示的四 个点阵显示状态,四个显示状态按顺序循环显示。风扇转动速度根据排油烟量的大小分为4档,其中小档的四个显示状态之间的切换时间为2秒,中档为1秒,大排档为0.5秒,空档为静止不动(即停止排油烟),通过按动按键BTN7来实现排油烟量档位的切换,系统上电时排油烟量档位为空档,此后每按下按键BTN7一次,排油烟量档位切换一次,切换的顺序为:空档→大档→中档→小档→空档,依次循环。 双色点阵模拟排油烟风扇转动示意图 3、设置按键BTN0为立即关闭按键,在任何状态下,只要按下BTN0,排油烟风扇就 立即停止工作进入空档状态。 4、设置按键BTN3为延时关闭按键,在大中小三档排油烟状态的任何一个档位下,只 要按下BTN3,排油烟风扇将在延时6秒后停止工作进入空档状态。延时期间用数码管DISP3进行倒计时显示,倒计时结束后,排油烟风扇状态保持静止不动。在延时状态下,禁用排油烟量档位切换键BTN7。 5、设置按键BTN6为照明开关键,用发光二极管LD6模拟照明灯,系统上电时照明灯 LD6处于关闭状态,按动BTN6来切换LD6的点亮和关闭。 6、系统工作稳定。 提高要求: 1、给油烟机加上音效,分档模拟排油烟风扇的噪音。 2、自拟其他功能。

最新数字电路与逻辑设计试卷(有答案)

数字电路与逻辑设计(A 卷) 班级 学号 姓名 成绩 一.单项选择题(每题1分,共10分) 1.表示任意两位无符号十进制数需要( )二进制数。 A .6 B .7 C .8 D .9 2.余3码10001000对应的2421码为( )。 A .01010101 B.10000101 C.10111011 D.11101011 3.补码1.1000的真值是( )。 A . +1.0111 B. -1.0111 C. -0.1001 D. -0. 1000 4.标准或-与式是由( )构成的逻辑表达式。 A .与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 5.根据反演规则,()()E DE C C A F ++?+=的反函数为( )。 A. E )]E D (C C [A F ?++= B. E )E D (C C A F ?++= C. E )E D C C A (F ?++= D. E )(D A F ?++=E C C 6.下列四种类型的逻辑门中,可以用( )实现三种基本运算。 A. 与门 B. 或门 C. 非门 D. 与非门 7. 将D 触发器改造成T 触发器,图1所示电路中的虚线框内应是( )。 图1 A. 或非门 B. 与非门 C. 异或门 D. 同或门 8.实现两个四位二进制数相乘的组合电路,应有( )个输出函数。 A . 8 B. 9 C. 10 D. 11 9.要使JK 触发器在时钟作用下的次态与现态相反,JK 端取值应为( )。 A .JK=00 B. JK=01 C. JK=10 D. JK=11 10.设计一个四位二进制码的奇偶位发生器(假定采用偶检验码),需要( )个异或门。 A .2 B. 3 C. 4 D. 5 二.判断题(判断各题正误,正确的在括号内记“∨”,错误的在括号内记“×”, 并在划线处改正。每题2分,共10分) 1.原码和补码均可实现将减法运算转化为加法运算。 ( )

前端设计&数字电路

要注意规范 工作过的朋友肯定知道,公司里是很强调规范的,特别是对于大的设计(无论软件 还是硬件),不按照规范走几乎是不可实现的。逻辑设计也是这样:如果不按规范做的话,过一个月后调试时发现有错,回头再看自己写的代码,估计很多信号功能都忘了, 更不要说检错了;如果一个项目做了一半一个人走了,接班的估计得从头开始设计;如 果需要在原来的版本基础上增加新功能,很可能也得从头来过,很难做到设计的可重用性。 在逻辑方面,我觉得比较重要的规范有这些: 1.设计必须文档化。要将设计思路,详细实现等写入文档,然后经过严格评审通过 后才能进行下一步的工作。这样做乍看起来很花时间,但是从整个项目过程来看,绝对 要比一上来就写代码要节约时间,且这种做法可以使项目处于可控、可实现的状态。 2.代码规范。 a.设计要参数化。比如一开始的设计时钟周期是30ns,复位周期是5个时钟周期,我 们可以这么写: parameter CLK_PERIOD = 30; parameter RST_MUL_TIME = 5; parameter RST_TIME = RST_MUL_TIME * CLK_PERIOD; ... rst_n = 1'b0; # RST_TIME rst_n = 1'b1; ... # CLK_PERIOD/2 clk <= ~clk; 如果在另一个设计中的时钟是40ns,复位周期不变,我们只需对CLK_PERIOD进行重新例化就行了,从而使得代码更加易于重用。 b.信号命名要规范化。 1) 信号名一律小写,参数用大写。 2) 对于低电平有效的信号结尾要用_n标记,如rst_n。 3) 端口信号排列要统一,一个信号只占一行,最好按输入输出及从哪个模块来到哪 个模块去的关系排列,这样在后期仿真验证找错时后方便很多。如:

数字电路设计数字电路应用设计

数字电路设计数字电路应用设计 数字电路应用设计。本书从实用设计方法出发。 通信及相关专业师生的参考用书。也可供电路设计及研发人员参 考阅读。 书名,数字电路应用设计。作者,关静。ISBN,9787030257796。定价,32.00 元。出版社,科学出版社。出版时间,xx-11-1。装帧,平装。开本,16开。 基本信息。数字电路应用设计作者:关静编著出版社:科学 出版社出版时间: xx-11-1开本: 16开I S B N: 9787030257796定价:¥32.00。 内容简介。本书从实用设计方法出发。结合实际应用。 也可供电路设计及研发人员参考阅读。 目录。第1章数字电路实用设计基础1.1 数字集成电路的分类。 特点及注意事项1.2 数字逻辑电路的测试方法1.3 基本逻辑门 电路的测试方法1.4 典型集成逻辑门电路部件逻辑门等等。逻辑门 可以组合使用实现更为复杂的逻辑运算。1.5 组合逻辑电路的分析与设计逻辑运算又称布尔运算布尔用数学方法研究逻辑问题。成功地 建立了逻辑演算。他用等式表示判断。把推理看作等式的变换。这种变换的有效性不依赖人们对符号的解释。 只依赖于符号的组合规律。这一逻辑理论人们常称它为布尔代数。20世纪30年代。逻辑代数在电路系统上获得应用。随后。由于电子技术与计算机的发展。出现各种复杂的大系统。它们的变换规律也遵

守布尔所揭示的规律。逻辑运算通常用来测试真假值。最常见到的逻辑运算就是循环的处理。用来判断是否该离开循环或继续执行循环内的指令。1.6 电路的安装与调试1.7 TTL集电极开路门与三态输出门的应用集电极开路门。即OC门。 是一种能够实现线逻辑的电路。OC与非门电路的特点是将原TTL 与非门电路中的VT3管集电极开路。并取消集成电极电阻。所以。使用OC门时。为保证电路正常工作。必须外接一只RL电阻与电源VCC 相连。称为上拉电阻。如图2所示。1.8 数字IC的接口电路1.9 数字电路的抗干扰问题第2章电子计数器。秒表的制作2.1 电子计数器的制作2.1.1 集成计数器74LS1602.1.2 数码管显示单元2.1.3 计数器电路图与实际制作2.1.4 调整和使用方法2.2 秒表的制作2.2.1 钟表的工作2.2.2 秒表的制作及调整2.2.3 使用BCD计数器和十进制计数器的方法第3章电子储钱罐的设计与制作3.1 设计思路3.2 光电传感器与锁存器部分电路3.2.1 光电传感器光电传感器是采用光电元件作为检测元件的传感器。 它首先把被测量的变化转换成光信号的变化。然后借助光电元件进一步将光信号转换成电信号。光电传感器一般由光源。光学通路和光电元件三部分组成。光电检测方法具有精度高。反应快。非接触等优点。而且可测参数多。传感器的结构简单。形式灵活多样。因此,光电式传感器在检测和控制中应用非常广泛。光电传感器是各种光电检测系统中实现光电转换的关键元件。它是把光信号转变成为电信号的器件。光电式传感器是以光电器件作为转换元件的传感器。

流水线技术在高速数字电路设计中的应用

摘要:流水线技术是设计高速数字电路的一种最佳选择之一,对其实现原理作了较形象的阐述。针对加法器在DSP中的重要作用,对流水线加法器中流水线技术的应用作了较深入的说明。同时,对流水线技术中引入寄存器事项也作了较全面的阐述。 1 前言 数字信号处理技术(DSP)在许多领域都得到广泛的应用,在数字电路设计时,设计者都希望设计出具有理想速度的电路系统。目前,并行技术、流水线技术等都是很好的备选方案。对于组合逻辑电路占主要成分的电路中,流水线技术是首先考虑的技术。 现在,现场可编程门阵列FPGA的集成度已达到很高的程度,且设计灵活,可在实验室里进行,并具有丰富的寄存器,适合设计人员使用流水线技术来进行设计以提高数字电路的整体运行速度。 2 流水线技术的作用原理 流水线技术就是把在一个时钟周期内执行的操作分成几步较小的操作,并在多个较高速的时钟内完成。如图1、2所示,对图1中的两个寄存器间的数据通路,在图2中将其分成了3级,并在其间插入了两个寄存器,这就是流水线技术的使用。 图1常规的数据通路 图2采用流水线技术数据通路 对图1中的数据通路,设tpd≈x,则该电路(不考虑寄存器的影响)从输入到输出的最高时钟频率就为1/x。而在图z中,假设在理想情况下所分成的3级,每级的tpd≈x/3,则该电路从输入到输出的最高频率可提高到原来的3倍,采用流水线技术有效地提高了系统的时钟频率,因而在多个时钟周期连续工作情况下,就提高了整个系统的数据处理量。当然,这不包括电路中所加入的寄存器时延,因此每级的实际延迟应比x/ 3稍大。但在多个时钟周期连续工作情况下,可忽略不计,所以流水线技术能提高系统的数据流量。 3 流水线技术的设计应用 加法运算是最基本的数字信号处理(DSP)运算,减法、乘法、除法或FFT运算都可分解为加法运算。因此进行加法运算的加法器就成为实现DSP的最基本器件,因而研究如何提高其运行速度很有必要。 流水线技术在提高系统整体运行速率方面绩效显著,因而采用流水线技术的流水线加法器就成为继串联加法器、并行加法器之后在选择加法器时的首选。当然并行加法器也可使用流水线技术(即并行流水线加法器)来进一步提高加法器的运算速度。 下面就以一个4位流水线加法器的实现为例来说明流水线技术的应用,并以此说明流水线技术在高位加法器的应用。 3.1应用示例 在没有采用流水线技术时由二位加法器串联组成的4位加法器原理图,如图3所示(这是在没有加入寄存器

数字钟-的设计与实现-数字电路的样板

课程设计任务书 学生姓名: XXX 专业班级: 指导教师:工作单位: 题目: 多功能数字钟电路设计 初始条件:74LS390,74LS48,数码显示器BS202各6片,74LS00 3片,74LS04,74LS08各 1片,电阻若干,电容,开关各2个,蜂鸣器1个,导线若干。 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 时间安排: 第20周理论设计、实验室安装调试,地点:鉴主15楼通信实验室一 指导教师签名:年月日 系主任(或责任教师)签名:年月日

多功能数字钟电路设计 摘要 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。数字钟适用于自动打铃、自动广播,也适用于节电、节水及自动控制多路电器设备。它是由数子钟电路、定时电路、放大执行电路、电源电路组成。为了简化电路结构,数字钟电路与定时电路之间的连接采用直接译码技术。具有电路结构简单、动作可靠、使用寿命长、更改设定时间容易、制造成本低等优点。 从有利于学习的角度考虑,这里主要介绍以中小规模集成电路设计数字钟的方法。

中山大学数字电路与逻辑设计实验报告

中山大学数字电路与逻辑设计实验报告 院系信息科学与技术学院学号 专业计算机科学类实验人 3、实验题目:AU(Arithmetic Unit,算术单元)设计。 实验内容: 设计一个半加半减器,输入为 S、A、B,其中S为功能选择口。当S=0时,输出A+B及进位;当S=1时,输出A-B及借位。 S 输入1 输入2 输出Y 进/借位Cn 0 A B A+B 进位 1 A B A-B 借位 利用三种方法实现。 (1)利用卡诺图简化后只使用门电路实现。 (2)使用74LS138实现。 (3)使用74LS151实现,可分两次单独记录和/差结果、进位借位结果或使用两块74LS151实现。 实验分析: 真值表 S A B Y Cn 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 0 0 1 0 1 1 1 1 1 0 1 0 1 1 1 0 0 卡诺图: S AB 0 1 通过卡诺图可得:Y=A B+A B 00 01 11 100 0 1 1 0 0 1 1

S AB 0 1 00 Cn=AB S +A BS 01 =(A S +A S)B 11 10 实验设计: (1)利用门电路实现。 ①利用74LS197的八进制输出端Q1、Q2、Q3作为B 、A 、S 的输入。 ②用异或门74LS86实现输出Y. ③用74LS86实现A ⊕B ,再用74LS08与B 实现与门。 (2)利用74LS138实现 ①将74LS197的Q3、Q2、Q1作为74LS138的S2、S1、S0输入,G2A 、G2B 接低电平,G1接高电平。 ②将74LS138的Y1、Y5、Y2、Y6利用74LS20实现与非门作为输出Y 。 ③ 将74LS138的Y3、Y5利用74LS00实现与非门作为输出Cn 。 0 0 0 1 1 0 0 0

数字与模拟电路设计技巧

数字与模拟电路设计技巧 模拟与数字技术的融合 由于IC与LSI半导体本身的高速化,同时为了使机器达到正常动作的目的,因此技术上的跨越竞争越来越激烈。虽然构成系统的电路未必有clock设计,但是毫无疑问的是系统的可靠度是建立在电子组件的选用、封装技术、电路设计与成本,以及如何防止噪讯的产生与噪讯外漏等综合考量。机器小型化、高速化、多功能化使得低频/高频、大功率信号/小功率信号、高输出阻抗/低输出阻抗、大电流/小电流、模拟/数字电路,经常出现在同一个高封装密度电路板,设计者身处如此的环境必需面对前所未有的设计思维挑战,例如高稳定性电路与吵杂(noisy)性电路为邻时,如果未将噪讯入侵高稳定性电路的对策视为设计重点,事后反复的设计变更往往成为无解的梦魇。模拟电路与高速数字电路混合设计也是如此,假设微小模拟信号增幅后再将full scale 5V的模拟信号,利用10bit A/D转换器转换成数字信号,由于分割幅宽祇有4.9mV,因此要正确读取该电压level并非易事,结果造成10bit以上的A/D转换器面临无法顺利运作的窘境。另一典型实例是使用示波器量测某数字电路基板两点相隔10cm的ground电位,理论上ground电位应该是零,然而实际上却可观测到4.9mV数倍甚至数十倍的脉冲噪讯(pulse noise),如果该电位差是由模拟与数字混合电路的grand所造成的话,要测得4.9 mV的信号根本是不可能的事情,也就是说为了使模拟与数字混合电路顺利动作,必需在封装与电路设计有相对的对策,尤其是数字电路switching时,ground vance noise不会入侵analogue ground的防护对策,同时还需充分检讨各电路产生的电流回路(route)与电流大小,依此结果排除各种可能的干扰因素。以上介绍的实例都是设计模拟与数字混合电路时经常遇到的瓶颈,如果是设计12bit以上A/D转换器时,它的困难度会更加复杂。 虽然计算机计算速度很快,不过包含身边物理事象在内的输入数据都是模拟数据,因此必需透过计算机的A/D转换器,将模拟信号转换成为数字信息,不过模拟的输出信号level比数位信号低几个位数,一旦遇到外部噪讯干扰时,模拟信号会被 噪讯盖住,虽然模拟在恒时微小变化量上具有非常重要的意义,不过若被外部噪讯掩盖时就不具任何价值,尤其是温度、湿度、压力等模拟量是模拟信耗的基础,它对微弱的模拟电路具有决定性的影响。为配合数字机器高速化的趋势,今后对 高速模拟化技术的要求会越来越高。如图1所示随着数字高速化,数字信号也越来越近似模拟信号波形,为了忠实传送如此的信号必需使用模拟式的思维来往处理,也就是说高速化时代数字设计者必需同时需兼具模拟素养。

相关主题
文本预览
相关文档 最新文档