当前位置:文档之家› 四位电子密码锁设计

四位电子密码锁设计

四位电子密码锁设计
四位电子密码锁设计

高级电子线路实验报告

题目:基于FPGA的四位电子密码锁设计院(系):信息与通信学院

专业:

学生姓名:

学号:

2015 年 6 月18 日

基于FPGA的的四位电子密码锁设计

摘要

本文主要介绍了一种运用可编程逻辑器件(FPGA)技术来编程实现电子密码锁的设计方法。在整个系统设计实现中采用FPGA器件作为控制器,继而了解密码锁系统的总体流程、各个模块的功能与接口的分配。基于FPGA,使用VHDL语言编写模块程序,再通过Quartus II 集成开发环境下进行设计、综合与仿真。要求用Verilog HDL语言实现系统中的各个模块功能,并且能够仿真,验证本次设计四位密码锁的功能。每按下一个数字键,就输入一个数值,并显示该数值,当按下密码更改键时会将目前的数字设定成新的密码。当按下激活电锁键的时候可以将密码锁上锁。当按下解除电锁键时会检查输入的密码是否正确,密码正确即开锁。电子密码锁由键盘扫描模块、分频模块、密码计数模块、比较器模块、存储模块、显示模块和控制模块七大部分组成。通过硬件描述,验证了整个设计的正确性以及系统的完整性。

关键词:可编程逻辑器件;电子密码锁;硬件描述;时序仿真

引言

本设计利用QuartusⅡ工作平台硬件描述语言,设计一种电子密码锁,并通过用FPGA 芯片实现。用VHDL语言使用自顶向下的方法对系统进行了描述,并在FPGA芯片上实现。设计充分利用了FPGA的资源可编程特性,可高效率的对系统进行升级与改进。设计的密码锁可设置任意密码,比一般的四位密码锁具有更高的安全可靠性,因此,采用FPGA 开发的数字系统,不仅具有很高的工作可靠性,其升级与改进也极其的方便,应用前景十分宽广。

随着人们生活水平的提高和安全意识的加强,对安全的要求也就越来越高,因此导致了电子行业的蓬勃发展。随着电子技术的发展,各类电子产品应运而生,电子密码锁就是其中之一。

在安全技术防范领域,具有防盗报警功能的电子密码锁逐渐代替传统的机械式密码锁,克服了机械式密码锁密码量少、安全性能差的缺点,使密码锁无论在技术上还是在性能上都获得了大大的提高。随着大规模集成电路技术的发展,特别是单片机的问世,出现了带微处理器的智能密码锁,它除具有电子密码锁的功能外,还引入了智能化管理、专家分析系统等功能,从而使密码锁具有很高的安全性、可靠性,应用日益广泛。

1 总体方案设计

1.1 方案论证

方案一:采用数字电路控制。利用数字逻辑电路,实现对锁的电子控制,突破了传统的机械锁的单一性、保密性低、易撬性的缺点,数字电子密码锁具有保密性高、使用灵活性好、安全系数高的优点。虽然采用数字密码锁电路的好处是设计简单,但是由于其实纯电路实际,在系统运行时,延时会比较严重。

方案二:通过单片机实现。现在一种新的方案就是采用一种以AT89S51为核心的单片机控制方案。虽然有灵活的设计和丰富的IO端口,但是单片机运行的缺点是程序运行时容易出现跑飞现象。

通过以上比较显然单片机方案有较大的活动空间,不但能实现所要求的功能,而且能在很大程度上扩大功能,并可以方便的对系统进行升级。但是由于所学知识不能将其有效运用,现有环境不能满足,而且以单片机为核心的密码锁有一定的不足之处,在运行时会产生PC指针错误,因此提出了第三种方案。

方案三:利用FPGA设计数字密码锁。FPGA即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物,是一种超大规模集成电路,具有对电路可重配置能力。通常FPGA都有着上万次的重写次数,也就是说现在的硬件设计和软件设计一样灵活、方便。相对于基于单片机技术的电子密码锁,用FPGA器件来构成系统,可

靠性提高,并且由于FPGA具有的现场可编程功能,使得电子密码锁的更改与升级更为方便简单。

通过以上比较描述,本设计采用基于FPGA的数字密码设计方案。

1.2 基于FPGA的数字密码锁系统流程图

根据所要实现的数字密码锁的功能,设计出所要完成的的系统流程,系统设计的流程图如3.1所示。

图3.1详细的描述了一个数字密码锁所要完成的功能,开始时,首先要对密码锁系统进行复位初始化,将所要设置的密码首先存入到存储模块当中。然后进行键盘输入,键盘输入分为密码输入和功能输入,如果是密码输入就要把输入的密码与预置的密码进行比较,如果相同则开锁,不相同则报警,而其中密码的个数由计数器限制;如果是功能输入,则看是什么功能,本设计主要设计了四个功能:设置密码键、退出键、清零键和关锁键,密码锁会根据所要求的功能进行相应的操作。如果是其他的不与功能相对应的键输入,密码锁将进行系统复位初始化阶段,重新开始操作。

图3.1系统流程图

3.3 基于FPGA的数字密码锁总体框图

通过数字密码锁系统结构的分析,我们知道通用的数字密码锁主要由八个部模块组成:分频模块、键盘扫描模块、比较模块、计数模块、寄存模块、存储模块、显示模块和控制模块。根据系统流程图的设计以及各个模块之间的逻辑关系将得到系统总体框图,如图3.2所示。

图3.2数字电子密码锁系统总体框图

键盘扫描模块:设定数字键0—9,以及功能键;

分频模块:设定系统时钟CLK的频率,对其分频,分别作为按键检测时钟和LED控制器扫描时钟;

密码计数模块:统计所输密码位数;

比较器模块:比较所输两数是否相等;

比较结果寄存器模块:存储比较器模块的结果;

存储模块:存储所设置的密码;

显示模块:显示所输数字;

控制模块:根据分析的数字密码结果,控制单元的算法。

2 系统详细设计

2.1 分频模块设计

分频模块的主要功能是所给频率转化成系统所需频率。通常数字电路中的分频操作是采用计数来进行。就是利用一个自由计数器来产生各种需要的频率,也就是先建立一个N 位计数器,N的大小根据电路的需求来决定,N的值越大,电路可以分频的次数就越多,这样就可以获得更大的频率变化,以便提供多种不同频率的时钟信号。本密码系统所设计的分频模块是执行十分频功能的模块,其目的是为了得到频率为时钟频率十分之一的clk_scan。主要程序如下所示。

if(clk'event and clk='1') then

if(cnt=cnt'high)then

cnt <= 0;

else

cnt <= cnt+1;

end if;

end if;

process(cnt,clk)

begin

if(clk'event and clk='1')then

if(cnt>cnt'high/2)then

clk_scan<='1';

else

clk_scan<='0';

end if;

end if;

end process;

分频模块源代码编译后所生成的示意图如图4.1所示。

图4.1分频模块示意图

分频模块的输入输出管脚及代表的意义如下表所示。

表4.1 分频模块输入输出变量定义

源程序编译以后,建立仿真波形,所得功能仿真波形如图4.2所示。

图4.2 分频模块功能仿真波形图

图中输入的时钟频率clk 是1亿赫兹(1/10ns),经过程序编译以后,输出的波形是clk_scan 为1千万赫兹(1/10ns),符合十分频的要求。

2.2 键盘扫描模块设计

行列式键盘又叫做矩阵式键盘【4】。用带有I/O 口的线组成行列结构,按键设置在行列的交点上。本次试验用到的是4×4的行列结构键盘,可以构成16个按键。这样,当按键数量平方增长是,I/O 口只是线性增长,这样就可以节省I/O 口。 行列式键盘的原理如图4.3所示。

key_col{0..3} 上拉电阻

图 4.3 行列式键盘的原理

按键设置在行列线交叉点,行、列线分别连接到按键开关的两端。列线通过上拉电阻接+5V 的电压,即列线的输出被嵌位在高电平状态。

判断按键中有无键按下时通过行线输入扫描信号,然后从列线读取到状态实现的。其

方法是依次给行线送低电平,检查列线的输入。如果列线信号全为高电平,则代表低电平所在的行中无按键按下;如果列线有输入为低电平,则低电平信号所在的行和出现低电平的列的交点处有按键按下。部分程序如下所示。

process(temp,scan_en)

begin if scan_en = '0' then

case temp is

when "11101110" => key_code_reg<="00000";

key_out_reg <="0000000000000001" ;

temp_num <='1'; temp_function<='0';

when "11101101" => key_code_reg<="00001";

key_out_reg <="0000000000000010" ;

temp_num <='1';

temp_function<='0';

when "11101011" => key_code_reg<="00010";

key_out_reg <="0000000000000100" ;

temp_num <='1';temp_function<='0';

设行扫描信号为key_row{3…0},列线按键输入信号key_col{0..3}与按键位置的关系如表4.2所示。

表4.2 行扫描信号、列线按键输入信号与按键位置的关系

键盘扫描模块源代码编译后所生成的示意图如图4.4所示。

图4.4键盘扫描模块示意图

键盘扫描模块的输入输出管脚及代表的意义如下图所示。

表4.3键盘扫描模块输入输出变量定义

源程序编译以后,建立仿真波形,所得功能仿真波形如图4.5所示。

图4.5 键盘扫描模块功能仿真波形图

在图5.5中,键盘扫描的列输入为1011,行输出为1011,由图5.3可得,此时按下的键应该是功能键A 键,因此key_func 、key_press 变为高电平,key_num 为低电平,而

以one-hot码(独热码)编码的key_out输出为0000010000000000,符合源程序逻辑。

2.3 比较模块

比较模块的主要功能是比较输入的密码和预置的开锁密码是否一致。部分程序如下所示。

if en='1' then

if(a=b)then

y<='1';

else

y<='0';

end if;

else

y<='Z';

end if;

比较模块源代码编译后所生成的示意图如图4.6所示。

图4.6 比较模块示意图

比较模块的输入输出管脚及代表的意义如下图所示。

表4.4 比较模块输入输出变量定义

源程序编译以后,建立仿真波形,所得功能仿真波形如图4.7所示。

图4.7 比较模块功能仿真波形图

如图4.7中,当输入输入a为5、b为9时,说明输入的密码与预置密码不一样,此时输出y变为低电平;当输入a、b都为4时,说明输入的密码与密码锁系统初始化时的密码相同,此时输出y变为高电平,符合源程序逻辑。

2.4 计数模块

本计数器模块设计的是一个加减计数器,带有清零端,模值为4。当up_down[1..0]为“10”时,执行的是加计数;当up_down[1..0]为“01”时,执行的是减计数。部分程序如下所示,本程序执行的是加计数情况下的计数情况。

if up_down="10" then

empty<='0';

if num="100" then

num<="100";full<='1';carry<='1';

elsif num="011" then

num<="100";full<='1';carry<='0';

else

num<=num+1;full<='0';carry<='0';

end if;

end if;

计数模块源代码编译后所生成的示意图如图4.8所示。计数器的作用有两个:(1)输出作为存储器的地址输入;(2)计数满指示送给控制模块,表示密码长度是否满足。

图4.8 计数模块示意图

计数模块的输入输出管脚及代表的意义如下图所示。

表4.5 计数模块输入输出变量定义

源程序编译以后,建立仿真波形,所得功能仿真波形如图4.9所示。

图4.9 计数模块功能仿真波形图

在图4.9前面一部分,up_down[1..0]为“10”,此时进行加计数,来一个时钟加一次,加到4以后,已满,一直保持为4,直到up_down[1..0]变为“01”时,变为减计数,来一个时钟减一次,直到为0保持。 2.5 显示模块

显示模块的主要功能是显示所输入的密码。本模块设计的是一个可以左右移位的移位显示器,左右移动由left_right[1..0]来控制,当left_right[1..0]为10时为左移,当left_right[1..0]为01时为右移。部分程序如下所示。 if clr='1' then for i in 0 to (m-1) loop shift_memory(i)<=(others=>'0'); end loop; elsif rising_edge(clk) then if left_right="10" and full/='1' then

shift_memory(0)<='1'& din;

for i in 1 to (m-1) loop

shift_memory(i)<=shift_memory(i-1);

end loop;

elsif left_right="01" and empty/='1' then

shift_memory(m-1)<=(others=>'0');

for i in (m-1) downto 1 loop

shift_memory(i-1)<=shift_memory(i);

end loop;

end if;

end if;

经源代码编译以后生成的显示模块示意图如图4.10所示。

图4.10 显示模块示意图

显示模块的输入输出管脚及代表的意义如下图所示。

表4.6 显示模块输入输出变量定义

源程序编译以后,建立仿真波形,所得功能仿真波形如图4.11所示。

图4.11 显示模块功能仿真波形图

如图4.11所示,当left_right[1..0]为10时,每按下一个数字键,输入一个数值,就在数码管reg0上显示该数值,并将以前已经输入的数据依序左移一个数字位置。当full 为高电平时,reg0不再移入新的数字。当left_right[1..0]为01时,将reg5~reg0所显示数值依序右移,最高位移入0。

2.6 存储模块

在数字密码锁中,密码存储模块是它的密码规定电路,其主要功能是存储预置的开锁密码。密码存储模块的地址选择信号由计数器模块电路来进行选择。部分程序如下所示。

process(wr,ld,addr1,datain)

begin if wr='1' then

rom0(addr1) <= datain;

elsif ld='1' then

for i in 0 to (m-1) loop

rom1(i)<=rom0(i);

end loop;

end if;

end process;

process(rd,sel,addr1)

begin

if addr1>0 and addr1<7 then

if rd='1' and sel='0' then

dataout <= rom0(addr1);

elsif rd='1' and sel='1' then

dataout <= rom1(addr1);

else

dataout <= (others=>'Z');

end if;

else

dataout <= (others=>'Z');

end if;

end process;

比较存储模块源代码编译后所生成的示意图如图4.12所示。

图4.12 存储模块示意图

存储模块的输入输出管脚及代表的意义如下图所示。

表4.7 存储模块输入输出变量定义

源程序编译以后,建立仿真波形,所得功能仿真波形如图4.13所示。

图4.13 存储模块功能仿真波形图

当wr 为高电平时,将datain 所输入的数据存储到rom0中addr 所对应的位置;当sel 为低电平时,从rom0中addr 所对应的位置读出数据并输出到dataout 端口;当sel 为高电平时,从rom1中addr 所对应的位置读出数据并输出到dataout 端口。当ld 为高电平时,将rom0中的数据复制到rom1中的对应位置。

2.7 控制模块

控制模块是数字密码锁系统的核心,是本设计中最重要的一部分。它相当于计算机CPU,负责接收从其他模块传来的输入信号,再根据系统的功能产生相应的控制信号送到相应的模块。控制模块源代码编译后所生成的示意图如图5.14所示。

图5.14 控制模块示意图

控制模块是整个电路的控制中心,主要完成如下功能:

(1)密码核对:在密码更改、开锁之前必须核对密码;

(2)密码变更:按下此键将目前输入的密码作为新密码;

(3)激活电锁:上锁,上锁之前必须设定密码;

(4)解除电锁:检查输入的密码是否正确,正确才能开锁;

(5)报警:当输入的密码错误时,密码锁报警。

下表显示的是控制模块的输入输出管脚,以及每个管脚的意义。

表4.8 控制模块输入输出变量定义

控制模块主要由状态机电路组成,采用有效状态机设计【5】,将系统分为10个状态机,即空闲状态(idle)、第一次密码输入状态(firstcode)、第二次密码输入状态(secondcode)、密码输入状态(firstcode)、戴锁状态(firstcode)、关锁状态(firstcode)、消除状态(firstcode)、密码输入状态(firstcode)、输入完成状态(firstcode)和报警状态(firstcode)输入状态。

密码锁上电复位后,可进行密码设置,当第一次输入密码以后,led所显示数码逐位左移,第一次密码输入完成后f_end为高。若第二次所设密码和第一次所设的密码一致,s_end为高。此后h_code始终为高,代表密码锁内已经存有密码。此时密码锁处于开锁状态,set_lock为低。最后按下F(关锁键),进入关锁状态,set_lock输出高电平。

假设密码已经设置好,按下错误密码确认后,set_alarm输出为高,报警。按下清零键C清除报警状态。

若要修改之前所设置的密码,可在开锁状态下按下A(设置密码键),此时f_end与s_end均为低电平。若要重设密码,第一次输入完成后f_end变为高,第二次所设密码与第一次一致时, s_end输出为高。同时,控制模块ld端输出一个正脉冲,将新密码设定密码锁中。在修改密码过程中,若按下B(退出键),则可退出密码设置状态,原先所设密码保持不变。

源程序编译以后,建立仿真波形,所得功能仿真波形如图5.15所示。

图4.15 控制模块功能仿真波形图

3 系统顶层模块设计

3.1 顶层原理图

在Quartus II环境下通过对数字密码锁分频模块、键盘扫描模块、计数模块、比较模块、比较结果寄存器模块、存储模块、显示模块和控制模块的VHDL源程序进行编译得到各个模块的实体图,然后把各个模块的实体图按对应接口连接起来,再加上时钟信号、上电复位信号、列密码输入等输入信号及一系列的输出信号,就可以得到顶层实体原理图【6】,如图5.1、5.2所示。

图5.1 顶层原理图

图5.2 顶层原理图

3.2顶层原理图仿真

如图5.3所示,密码锁上电复位后,可进行密码设置,图中第一次所输密码为“5678”,

led所显示数码逐位左移,第一次密码输入完成后f_end为高。第二次所设密码也为“5678”,第二次密码输入完且两次密码一致,s_end为高。此后h_code始终为高,代表密码锁内已经存有密码。此时密码锁处于开锁状态,set_lock为低。最后按下F(关锁键),进入关锁状态,set_lock输出高电平。

图5.3 顶层原理图仿真波形图

如图5.4所示,此时密码已经设置为“5678”,按下错误密码“1”确认后,set_alarm 输出为高,报警。按下清零键C清除报警状态,然后输入正确密码“5678”并确认后即开锁。

图5.4 顶层原理图仿真波形图

如图5.5所示,若要修改之前所设置的密码,可在开锁状态下按下A(设置密码键),此时f_end与s_end均为低电平。图中重设密码为“1234”,第一次输入完成后f_end 变为高。第二次所设密码也为“1234”,两次密码一致,则s_end输出为高。同时,控制模块ld端输出一个正脉冲,将新密码设定密码锁中。

四位数字密码锁

数字电路基础实验设计报告班级:521 姓名:李世龙 学号:2010052106 设计题目:四位数字密码锁 指导老师:张光普

四位密码锁 一设计任务:通过组合逻辑电路来实现四位密码锁功能。当输入正确的密码时LED灯亮但蜂鸣器不响,输入错误密码时LED灯不亮但蜂鸣器响。当关闭密码锁开关时无论输入什么样的密码LED灯都亮蜂鸣器都响。密码的输入由开关的闭合与断开控制高低电平进行密码的输入。 二设计原理: 开关J1,J2, J3,J4通过接低电平或者接入高电平进行密码的输入,J5为密码锁的开关当它接入高低电平来控制密码锁是否工作。其他的门电路来实现密码锁转换功能。

真值表 J5 J1 J2 J3 J4 LEDBUZZE R 0 ×××× 1 1 1 0 0 0 0 0 1 1 0 0 0 1 0 1 1 0 0 1 0 0 1 1 0 0 1 1 01 1 0 1 0 0 0 1 1 0 1 0 1 0 1 1 0 1 1 0 0 1 1 0 1 1 1 0 1 1 1 0 0 0 0 1 1 1 0 0 1 0 1 1 1 0 1 0 0 1 1 1 0 1 1 0 1 1 1 1 0 0 10 1 1 1 0 1 0 1 1 1 1 1 0 0 1 1 1 1 1 1 0 1 三测量和调试结果: 当J5接入电平密码锁处于非工作状态无论输入密码是什么LED灯都会亮起,蜂鸣器会一直响。当J5接入高电平时密码锁处于正常工作状态,当输入正确的密码1100时即J1,J2接入高电平J3,J4接入低电平时LED灯会亮但蜂鸣器不会响,当输入其他密码时均为错误密码LED灯不亮蜂鸣器会响。 按照设计原理图接好电路后发现输入正确的密码LED灯会亮,但输入错误的密码和密码锁处于非工作状态时蜂鸣器不响。通过对电路的检查发现蜂鸣器的正负接反了重新改正电路,电路正常工作 设计任务完成 四参考文献

根据VHDL的电子密码锁的设计

《E D A仿真与实践实习》 学院:信息科学与工程学院 课题名称:硬件描述语言设计 ——基于VHDL的电子密码锁的设计班级: 学生: 学号: 指导教师:

1 引言 在日常的生活和工作中, 住宅与部门的安全防范、单位的文件档案、财务报表以及一些个人资料的保存多以加锁的办法来解决。若使用传统的机械式钥匙开锁,人们常需携带多把钥匙, 使用极不方便, 且钥匙丢失后安全性即大打折扣。随着科学技术的不断发展,人们对日常生活中的安全保险器件的要求越来越高。为满足人们对锁的使用要求,增加其安全性,用密码代替钥匙的密码锁应运而生。 基于EDA技术设计的电子密码锁。以其价格便宜、使用方便、安全性高、成本低、功耗低、易操作等优点,受到了人们的普遍关注。而以可编程逻辑器件(FBDA)为设计载体,以硬件描述语言(VHDL)为主要表达方式,以QuartusⅡ5.1开发软件等为设计工具设计的电子密码锁,由于其能够实现密码输入、密码校验、密码设置和更改等功能,因此,能够满足社会对安全防盗的需求。 本设计的各个模块由相应的VHDL程序具体实现,并在QuartusⅡ5.1环境下进行了整体电路的模拟仿真,最终实现“密码锁控制器设计”的要求。 2 设计内容和要求 2.1 设计内容: 题目:电子密码锁 内容:设计一个4位串行数字锁。 (1)开锁代码为4位二进制,当输入代码的位数与锁内给定的密码一致,且按规定程序开锁时,方可开锁,并点亮一个指示灯。否则进入“错误”状态,并发出报警信号。 (2)锁内的密码可调,且预置方便,保密性好。 (3)串行数字锁的报警由点亮一个灯,直到按下复位开关,报警才停下。此时,数字锁又自动等待下一个开锁状态。 要求: (1)通过查阅相关技术资料,详细描述电子密码锁的基本原理。 (2)编写电子密码锁的Verilog HDL或VHDL程序,并仿真编译下载验证。 (3)给出完整的系统顶层模块图与波形仿真图。

电子密码锁报告

基于单片机控制的电子密码锁 设计者:张雪贵 指导老师:李峥 淮北煤炭师范学院物理与电子信息学院 06电子信息工程 2009年6月

基于单片机的电子密码锁 一设计任务 设计一个利用AT89S52单片机控制的电子密码锁,该电子密码功能包括16个密码输入,密码输入过程中清除输入,密码正确和错误分别用蜂鸣器提示,输入错误密码超次锁定,密码修改,12864LCD 显示菜单实现多功能等等。 二总体方案设计与实现 电子密码锁系统核心用AT89S52单片机控制,密码输入按键为4*4矩阵键盘16个按键实现密码输入,外加独立按键实现清除,确定和液晶反白控制。密码存储电路利用AT24C02芯片,该芯片可以实现掉电存储,而且数据可保留时间长,与单片机连接简单。报警电路利用三极管驱动小型蜂鸣器实现,通过给蜂鸣器送不同频率的电平信号实现不同声音报警。LCD用12864字符型液晶实现,通过单行反白实现菜单,加强液晶显示内容及添加系统功能。 另外,电磁锁电路暂时利用发光二极管代替,用发光二极管的亮灭和蜂鸣器的响声来指示电磁锁的开关。 图1 系统原理框图 图2系统总体原理电路图 三硬件电路的功能单元设计 (一)单片机最小系统

其原理图如图1所示 图3 单片机最小系统模块 单片机采用AT89S52单片机, AT89S52是一种低功耗、高性能CMOS8位微控制器,具有8K在系统可编程Flash存储器,与工业80C51产品指令各引脚完全兼容。单片机最小系统主要有两块组成,其一为晶振起振电路,其二为复位电路。在此,我们采用按键手动复位,相对来讲,这种复位方式更加方便人性化,不必要切断电源即可对系统进行复位。 (二)开锁电路 通过单片机送给开锁执行机构,电路驱动电磁锁吸合,从而达到开锁的目的。其原理如图2 所示。 图4 开锁电路原理 当用户输入的密码正确单片机便输出开门信号,送到开锁驱动电路,然后驱动电磁锁,达到开门的目的。在此为节省成本考虑,我们用二极管代替电磁锁,将一切在LCD上面显示,并且利用蜂鸣器和二极

基于FPGA的四位电子密码锁设计与实现 专业综合实训报告

基于FPGA的四位电子密码锁设计与实现 摘要: 针对于传统密码锁安全性能低及可靠性差等问题,立足于芯片中数据存储的保密性,运用EDA技术自顶向下的设计方法,提出了基于FPGA的四位电子密码锁的设计与实现方法,所设计的四位电子密码锁具有解锁、密码修改、报警提示及液晶显示功能。设计分析与仿真结果表明,基于FPGA所设计的四位电子密码锁保密性更高、灵活性更好,使得数据存储的整体可靠性增强,为提高数据的保密性提供了新的解决方案。 关键词:密码锁;FPGA;保密性;EDA技术; Design and Implementation of 4-Bit Electronic Cipher Lock Based on FPGA WANG Guo-qiang LI Shang-fu WANG Fei XIE Li-li WANG Qin SUN Bai School of Electronic Engineering,Heilongjiang University; Abstract: Traditional lock faces safety problem of low performance and poor reliability. Based on the data stored in the chip of confidentiality,and using EDA technology top-down design approach,we proposed the design and implementation of a 4-bit FPGA-based electronic lock,which provides unlock,passwordchange,alarm and LCD functions.Design analysis and simulation results show that the designed FPGA-based electronic lock provides better confidentiality,flexibility and reliability for data storage.This approach provides a novel solution for improving data confidentiality. Keyword: Coded lock;FPGA;Confidentiality;EDA technology; 0 引言 随着时代的发展,人们生活水平逐步提高,同时安全意识也日益增强,如何实现家庭防盗这一问题就尤其突出。传统的机械锁由于其构造简单,锁芯直接外露,导致被撬的事情比比皆是。因此,随着电子技术工业的发展,数字电子技术已经深入到人们的日常生活中,层出不穷的电子产品也向着高端方向发展,电子密码锁也就应运而生,在生活安全领域,具有防盗、报警功能的电子密码锁完全打破了机械密码锁的密码量少和安全性差的缺点[1]。 电子密码锁的复杂性,需要设计专门的电子电路和技术,许多相关研究机构和组织的科研人员进行了大量研究。目前该领域的研究主要集中在如何使电子密码锁体积缩小、可靠性

(完整word版)6位电子密码锁设计

电子信息工程《专业基础课程设计》研究报告 电子密码锁设计 学生姓名: 学生学号: 指导教师: 所在学院: 专业班级: 中国·大庆 2015年 6 月

信息技术学院 课程设计任务书 学院专业级,学号姓名 一、课程设计课题: 电子密码锁设计 二、课程设计工作日自年月日至年月日 三、课程设计进行地点:信息技术学院 321 四、程设计任务要求: 1.课题来源: 教师下发。 2.目的意义:设计一个电子密码锁。 3.基本要求: (1)设计6位电子密码锁,当输入正确密码时,输出开锁信号,用相应的发光二极管点亮表示开锁和关锁; (2)密码可以修改; (3)从第一个按钮触动后15秒内若锁未打开,则电路进入自锁状态,并进行声、光报警。 课程设计评审表

目录 1 设计任务要求 (1) 2 方案比较 (1) 3单元电路设计 (2) 4元件选择 (6) 5整体电路 (8) 6说明电路工作原理 (9) 7 困难问题及解决措施 (10) 8 总结与体会 (10) 9 致谢 (11) 10参考文献 (12)

1设计任务要求 (1)设计6位电子密码锁,当输入正确密码时,输出开锁信号,用相应的发光二极管点亮表示开锁和关锁;(2)密码可以修改; (3一个按钮触动后15秒内若锁未打开,则电路进入自锁状态,并进行声、光报警。 1.1 设计概述 通过本次设计掌握数字电路系统设计的方法,熟悉电子密码锁设计相关硬件的使用,了解电子密码锁的系统构成,利用数字门电路实现电子密码锁的设计与实现,可以加深自己对所学专业的认识,关联知识,增强自己的动手能力,积累实践经验,为以后的工作打好基础。通过本次设计掌握数字电路系统设计的方法,熟悉电子密码锁设计相关硬件的使用,了解电子密码锁的系统构成,利用数字门电路实现电子密码锁的设计与实现,可以加深自己对所学专业的认识,关联知识,增强自己的动手能力,积累实践经验,为以后的工作打好基础。 2方案比较 2.1.1 方案选择 本方案是用按键式的输入方式输入密码,然后预设密码由74HC160和BCD数码管显示,而输入密码则由4508和BCD数码管显示,密码比较由异或门器件来实现,定时装置由555定时 图2方案二原理框图

四位电子密码锁.

专业工程设计说明书 题目:4位电子密码锁设计 院(系):电子工程与自动化学院 专业:测控技术与仪器(卓越) 学生姓名:蔡伟航 学号:1200820206 指导教师:黄源 2015年1月16日

锁是置于可启闭的器物上,用以关住某个确定的空间范围或某种器具的,必须以钥匙或暗码打开的扣件。锁具发展到现在已有若干年的历史了,人们对它的结构、机理也研究得很透彻。随着社会科技的进步,锁已发展到了密码锁、磁性锁、电子锁、激光锁、声控锁等等。当今安全信息系统应用越来越广泛,特别在保护机密、维护隐私和财产保护方面起到重大作用,而基于电子密码锁的安全系统是其中的组成部分,因此研究它具有重大的现实意义。 本设计由主控芯片51单片机,单片机时钟电路,矩阵键盘,数码管的动态显示,报警电路和开锁电路组成。单片负责控制整个系统的执行过程。 关键词:AT89S51、时钟电路、矩阵键盘、数码管的动态显示、报警电路,开锁电路。

引言 (1) 1课程设计题目 (1) 2 系统设计 (2) 2.1 总的系统设计结构图 (2) 2.2系统硬件设计 (2) 3 AT89S52最小系统设计 (3) 3.1 时钟电路设计 (3) 3.2 复位电路设计 (4) 4 键盘及显示报警电路的硬件设计 (5) 4.1 矩阵键盘电路设计 (5) 4.2 显示电路硬件设计 (5) 4.3 继电器驱动电路及报警电路设计 (6) 4.3.1继电器简介 (6) 4.3.2 固态继电器驱动电路设计 (7) 4.3.3报警提示电路 (7) 5 系统软件设计 (8) 5.1主程序模块 (9) 5.2密码比较判断模块 (9) 5.3键盘扫描模块 (9) 5.4修改密码模块 (10) 5.5数码管液晶显示模块 (11) 6 总体调试 (11) 7 总结 (12) 参考文献 (13) 附录 (14)

51单片机电子密码锁设计(包含原理图,电路设计,c语言程序)

电子密码锁设计 1.实验任务 根据设定好的密码,采用二个按键实现密码的输入功能,当密码输入正确之后,锁就打开,如果输入的三次的密码不正确,就锁定按键3秒钟,同时发现报警声,直到没有按键按下3种后,才打开按键锁定功能;否则在3秒钟内仍有按键按下,就重新锁定按键3秒时间并报警。 2.电路原理图 图4.32.1 3.系统板上硬件连线 (1).把“单片机系统”区域中的P0.0/AD0用导线连接到“音频放大模块”区域中的SPK IN端子上; (2).把“音频放大模块”区域中的SPK OUT端子接喇叭和; (3).把“单片机系统”区域中的P2.0/A8-P2.7/A15用8芯排线连接到“四路静态数码显示”区域中的任一个ABCDEFGH端子上; (4).把“单片机系统“区域中的P1.0用导线连接到“八路发光二极管模块”区域中的L1端子上;

(5).把“单片机系统”区域中的P3.6/WR、P3.7/RD用导线连接到“独立式键盘” 区域中的SP1和SP2端子上; 4.程序设计内容 (1).密码的设定,在此程序中密码是固定在程序存储器ROM中,假设预设的密码为“12345”共5位密码。 (2).密码的输入问题: 由于采用两个按键来完成密码的输入,那么其中一个按键为功能键,另一个按 键为数字键。在输入过程中,首先输入密码的长度,接着根据密码的长度输入 密码的位数,直到所有长度的密码都已经输入完毕;或者输入确认功能键之后, 才能完成密码的输入过程。进入密码的判断比较处理状态并给出相应的处理过 程。 (3).按键禁止功能:初始化时,是允许按键输入密码,当有按键按下并开始进入按键识别状态时,按键禁止功能被激活,但启动的状态在3次密码输入不正确的 情况下发生的。 5.C语言源程序 #include unsigned char code ps[]={1,2,3,4,5}; unsigned char code dispcode[]={0x3f,0x06,0x5b,0x4f,0x66, 0x6d,0x7d,0x07,0x7f,0x6f,0x00,0x40}; unsigned char pslen=9; unsigned char templen; unsigned char digit; unsigned char funcount; unsigned char digitcount; unsigned char psbuf[9]; bit cmpflag; bit hibitflag; bit errorflag; bit rightflag; unsigned int second3; unsigned int aa; unsigned int bb; bit alarmflag; bit exchangeflag; unsigned int cc; unsigned int dd; bit okflag; unsigned char oka; unsigned char okb; void main(void) {

基于51单片机电子密码锁设计

一、设计目的 1.1课题简介 如何实现防盗是很多人关心的问题,传统的机械锁由于其构造简单,被撬的事件屡见不鲜,使人们的人身及财产安全受到很大威胁。电子密码锁是一种依靠电子电路来控制电磁锁的开和闭的装置,开锁需要输入正确密码,若密码泄露,用户可以随时更改密码。因此其保密性高,使用灵活性好,安全系数高,可以满足广大用户的需要,现在广泛使用的有红外遥控电子密码锁,声控密码锁,按键密码锁等。 1.2课题研究目的 本设计是一种基于单片机的密码锁方案,根据基本要求规划单片机密码锁的硬件电路和软件程序,同时对单片机的型号选择、硬件设计、软件流程图、单片机存储单元的分配等都有注释。现在很多地方都需要密码锁,电子密码锁的性能和安全性大大超过了机械锁,为了提高密码的保密性,必须可以经常更改密码,以便密码被盗时可以修改密码。 本次设计的密码锁具备的功能:LED数码管显示初始状态“——————”,用户通过键盘输入密码,每输入一位密码,LED数码管相应有一位变为“P”,若想重新输入密码,只需按下“CLR”键。密码输入完毕后按确认键“#”,密码锁控制芯片将输入的密码和密码锁控制芯片中存储的密码相比,若密码错误,则不开锁,会有红灯亮提示,同时显示“Error”。若正确,则开锁,会有绿灯亮提示,同时显示“PASS”。用户可以根据实际情况随意改变密码值或密码长度,密码输入正确后可以按下“CHG”修改密码,输入新密码时每输入一位新密码相应有一位变为“H”,以便提示用户此时输入的是新密码,修改新密码时若想重新输入新密码只需按下“CLR”键即可。输入新密码后按确认键即修改成功,新密码写入单片机内部RAM中,以便以后用来确认密码的正确性。按下复位键,系统恢复初始状态,密码也恢复初始密码,本设计中初始密码是“096168”。 本次设计中硬件主要由我完成,软件主要由张振完成。 二、硬件设计 2.1概述 本系统主要由单片机最小系统、电源电路、输入键盘电路、输出显示电路、开锁电路等组成,系统框图如图1所示:

4位数字密码锁的设计

1技术指标 用与非门设计一个4位或多位代码的数字锁,要求如下: A:设计一个保险箱用的多位代码数字锁,比如4位代码ABCD四个输入端和一个开锁用的钥匙插孔输入端E,当开箱时(E=1),如果输入代码(例如ABCD=1010)与设定的代码相同,则保险箱被打开,即输出端Z=1,否则电路发出报警信号: B: 进行电路仿真,并说明其工作原理。

2方案比较 方案一:由4个单刀双掷开关构成密码开关,用户可以通过控制开关来控制A、B、C、

3Proteus软件介绍 Proteus软件是来自英国Labcenter electronics公司的EDA工具软件。 Proteus软件有十多年的历史,在全球广泛使用,除了其具有和其它EDA工具一样的原理布图、PCB自动或人工布线及电路仿真的功能外,其革命性的功能是,他的电路仿真是互动的,针对微处理器的应用,还可以直接在基于原理图的虚拟原型上编程,并实现软件源码级的实时调试,如有显示及输出,还能看到运行后输入输出的效果,配合系统配置的虚拟仪器如示波器、逻辑分析仪等,您不需要别的,Proteus为您建立了完备的电子设计开发环境!尤其重要的是Proteus Lite可以完全免费,也可以花微不足道的费用注册达到更好的效果;功能最强的Proteus专业版也非常便宜,人人用得起,对高校还有更多优惠。 Proteus组合了高级原理布图、混合模式SPICE仿真,PCB设计以及自动布线来实现一个完整的电子设计系统。此系统受益于15年来的持续开发,被《电子世界》在其对PCB 设计系统的比较文章中评为最好产品—“The Route to PCB CAD”。Proteus产品系列也包含了我们革命性的VSM技术,用户可以对基于微控制器的设计连同所有的周围电子器件一起仿真。用户甚至可以实时采用诸如LED/LCD、键盘、RS232终端等动态外设模型来对设计进行交互仿真。 其功能模块:—个易用而又功能强大的ISIS原理布图工具;PROSPICE混合模型SPICE仿真;ARES PCB设计。PROSPICE仿真器的一个扩展PROTEUS VSM:便于包括所有相关的器件的基于微处理器设计的协同仿真。此外,还可以结合微控制器软件使用动态的键盘,开关,按钮,LEDs甚至LCD显示CPU模型。 Proteus支持许多通用的微控制器,如PIC,A VR,HC11以及8051。 交互的装置模型包括:LED和LCD显示,RS232终端,通用键盘。 Proteus有强大的调试工具;包括寄存器和存储器,断点和单步模式。 IAR C-SPY和Keil uVision2等开发工具的源层调试。 Proteus应用特殊模型的DLL界面-提供有关元件库的全部文件。 Proteus与其他的仿真软件相比较,在下面的优点: 1、能仿真模拟电路、数字电路、数模混合电路; 2、能绘制原理图、PCB图; 3、几乎包括实际中所有使用的仪器;

电子密码锁设计图与电子锁工作原理

电子密码锁设计图与电子锁工作原理原文地址:https://www.doczj.com/doc/c915217864.html,/tech/Knowledge/2011-11-23/157.html 电子密码锁采用十进制计数/脉冲分配器集成电路CD4017和少量外围元器件组成,它具有可编密码数高、性能可靠等特点,可用于门锁、保险柜或机动车点火系统的控制。 一、电路工作原理: 该电子密码锁电路由输入控制电路、复位电路、计数/分配器电路和控制执行电路组成,如图所示。 输入控制电路由密码按钮S1、S2、S5、S8、S9和二极管VD3-VDl4、电阻器 R1等组成。 计数/分配器电路由IC和晶体管Vl、电阻器R2、R3、二极管VDl组成。 复位电路由电容器Cl、C2、二极管VD2、VDl5、电阻器R4、R5和按钮S3、S4、S6、S7组成。 控制执行电路曲晶体管V2、V3、发光二极管VL、电阻器R6、R7、继电器 (或电控锁)K和二极管VDl6组成。 接通电源后,+l2V电压经Cl为IC的R端 (15脚)提供一个复位高电平,使IC复位,其YO端 (3脚)输出高电平,其余各输出端均为低电平。

按动一下Sl时,YO端的高电平经VD9、Sl和R2加至Vl的基极,使Vl饱和导通;松开别后,Vl截止,在IC的CP端产生一个触发脉冲,IC开始计数,其 Yl端 (2脚)输出高电平,而YO端和其余各输出端均为低电平。 再按动S2一下,IC第Yl端的高电平又使Vl再次导通,松开S2后Vl截止,IC计人第2个脉冲,其Y2端 (4脚)输出高电平,Yl端和其余各输出端均为低电平。 按动一下S8时,+l2V电压经R3、VDl、Rl和S8到地形成闭合回路,松开S 后也会在IC的CP端产生触发脉冲,使IC计人第3个脉冲,其Y3端 (7脚)输出高电平。 按动一下S5时,Y3端的高电平经VD11、S5、R2使Vl导通,松开S5时Vl截止,IC计人第4个脉冲,Y4端 (10脚)输出高电平。 再按动一下S8,lC的Y5端 (l脚)又变为高电平;按动一下S2,使IC的Y6 端变为高电平;按动一下S9,使lC的Y7端变为高电平;按动一下S8,使IC的 Y8端变为高电平;按动一下S5,使IC的Yg端输出高电平,此时VL点亮,V2和V3导通,继电器 (或电控锁)K动作,将锁打开。 同时,Y9端的高电平还经VDl5和R5向C2充电,当C2充满屯 (几秒钟后) 时VD2导通,IC因l5脚加人高电平脉冲而清零复位,YO端输出高电乎,V2和V3截止,K释放,VL熄灭。 该电路的开锁密码为128582985。根据需要改变各按钮的接线位置,即可更改密码。 若不知道密码,而误按动了按钮S3、S4、S6和S7,则IC强制复位,YO端输出高电平,无法开锁。 元器件选择 Rl-R7选用1/4W碳膜电阻器或金属膜电阻器。 Cl选用独石电容器或涤纶电容器;C2和C3均选用耐压值为16V的铝电解电容器。 VDl-VDl5均选用lN4148型硅开关二极管;VDl6选用1N4007型硅整流二极管。 Vl和V2选用S9013或3DG9013型硅NPN晶体管;V3选用C8050或S8050等型号的硅NPN晶体管。 IC选用CD4017或CC4017型十进制计数/分配器集成电路。 S1-S9均选用动合 (常开)型微动按钮。

6位数字密码锁控制器

得分:_______ 南京林业大学 研究生设计报告 2011 ~ 2012 学年第一学期 课程名称:微机控制与自动化 报告题目:六位数字密码锁控制器 学号: 作者:陈亭亭 联系电话: 任课教师: 二○一一年十二月

六位数字密码锁控制器 一、设计目的: 随着社会的发展,单片机市场已经形成一个规格齐全、品种繁多的大家族,用户有非常大的选择余地。单片机的应用十分广泛,在工业控制领域、家电产品、智能化仪器仪表、计算机外部设备,特别是机电一体化产品中,都有非常重要的用途。本设计作为微机原理与自动化的课程作业,泥实现以下目的: 1.通过自主编程,以熟悉80c51单片机的控制及编程方法; 2.通过设计与编程,熟悉单片机与外设的连接方法; 3.通过使用protel绘画电路图,熟悉protel软件; 4.熟悉液晶显示器的使用方法。 二、设计实现的功能: 1.通过0~9十个数字键实现六位密码的设置,验证和修改,在密码输入错误时系统 会自动报警,可按复位键重新输入密码。 2.通过LED七段数码管显示密码。 三、设计所需的芯片器材 ATMEL公司的AT89C51单片机,74LS273的8D锁存器,74LS244的8位三态缓冲器,LED显示器、按键若干。 四、总体方案设计 1.总体框图设计 选用AT89C51单片机,加上相应的按键、晶振、复位、显示电路,并进行各种软件的设计。密码锁控制器的总体设计框图如图1所示。 2. 显示控制方案 在构成多位LED显示时,点亮数码管的方式有静态显示和动态显示两种。 静态显示方式:LED的静态显示是指当数码管显示某一字符时,相应段的发光二极管处于恒定地导通或截止状态,直到显示另一字符为止。 静态显示方式各位可独立显示。由于各位分别由一个8位I/O接口控制段选码,故在同一时间里,每一位显示的字符可以各不相同。这种显示方式接口,较小的电流即可获得

简易 位数字密码锁控制电路设计实验报告

目录 一、前言 (2) 二、课设任务 (2) 三、方案设计、原理分析 (2) 四、译码电路设计 (8) 五、报警信号产生器 (10) 六、调试及结果 (12) 七、体会 (13)

一、前言 本次课程设计的基本任务是着重提高学生在EDA知识学习与应用方面的实践技能。学生通过电路设计安装、调试、整理资料等环节,初步掌握工程设计方法和组织实践的基本技能,逐步熟悉开展科学实践的程序和方法。 EDA技术是电子信息类专业的一门新兴学科,是现代电子产品设计的核心,其任务是掌握在系统可编程逻辑器件及其应用设计技术,为电子产品开发研制打下坚实基础。 本课程设计对学生有如下要求:根据设计任务和指标,初步电路;通过调查研究,设计计算,确定电路方案;选择元器件,在计算机上连好线路,独立进行试验,并通过调试、仿真、改进方案;分析实验结果,写出设计总结报告:学会自己分析,找出解决问题方法;对设计中遇到的问题,能独立思考、查阅资料,寻找答案。 二、课设任务 1、14位数字密码分成高7位(DH6…DH0)和低7位(DL6…DL0), 用数字逻辑开关预置,输出信号out为1表示开锁,否则关闭。 2、14位数字密码分时操作,先预置高7位,然后再置入低7位。 3、要求电路工作可靠,保密性强,开锁出错立即报警。 4、利用MAX plus2 软件进行设计、编译,并在FPGA芯片上实现。 5、简易14位数字密码锁模块的框图如下: 三、方案设计、原理分析 首先我是一班的三号,所以我的密码时0100010 0000011。我所做的设计是先把高七位输入锁存,然后在输入低七位,

最后判断密码是否正确,密码正确就开锁,密码错误就报警。数字密码锁控制电路的组成部分:YMQ模块, 1、IC9A的设计 设计要求14位数字密码分时操作,先预置高七位0100010,而后置低七位0000011,首先可以使用寄存器将高七位存起来,而后与低七位一起进行译码,如果密码正确,输出OUT2为1,否则为0. 2、数字密码锁控制电路原理图:

四位电子密码锁

沈阳 课程设计 (说明书) 四位电子密码锁的设计 班级/ 学号 学生姓名 指导教师

课程设计任务书 课程名称数字逻辑课程设计 课程设计题目四位电子密码的设计 课程设计的内容及要求: 一、设计说明与技术指标 设计一个四位电子密码锁电路,技术指标如下: ①通过键盘电路输入四位密码。初始密码0000设定。 ②密码输入正确后,锁打开。在锁打开时,才可修改密码。 ③密码输入错误有适当的提示。三次错误后,具有声、光报警功能。二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1. 彭介华.电子技术课程设计指导[M].北京:高等教育出版社 2. 孙梅生,李美莺,徐振英. 电子技术基础课程设计[M]. 北京:高等教育出版社 3. 梁宗善. 电子技术基础课程设计[M]. 武汉:华中理工大学出版社 4. 张玉璞,李庆常. 电子技术课程设计[M]. 北京:北京理工大学出版社 5. 谢自美.电子线路设计·实验·测试(第二版)[M].武汉:华中科技大学出版社 五、按照要求撰写课程设计报告 成绩指导教师日期

一、概述 随着人们生活水平的提高,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,因此电子密码锁在实现家庭防盗这一问题也变得尤其的突出,电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的青睐。这种锁是通过键盘输入一组密码来达到开锁的目的。本课程设计一个电子密码锁,要求是设定4位的密码锁,输入4位输入密码,然后与已设置好的密码相比较,密码输入正确后即可开锁,开锁后才可执行密码修改的功能,如果输入三次错误密码就不断报警(包括声音和光两种报警方式)。 二、方案论证 设计一个四位电子密码锁,通过输入四位密码,并且一位一位的进行比较,能够在输入正确的密码后打开锁,并且只有在打开锁之后判断是否修改密码,如果输入密码错误,则记录一次输入错误信息,如果输入三次错误密码,则有声音(蜂鸣)或者光(灯亮)报警。 方案一: 本方案通过控制开关键入密码,通过74LS148把相应的十进制数转化成相应的四位二进制码,与寄存在74LS195的原始密码通过数值比较器74LS85进行比较,如果四位密码都输入正确,则提示锁打开,然后提示可以修改密码;如果密码输入错误,则记录一次,当输入错误三次,则有报警提示。 图1 四位电子密码锁电路的原理框图

单片机密码锁设计(汇编语言-)带原理图电路图-

单片机密码锁设计(汇编语言)带原理 图电路图 什么是密码锁 电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。 硬件设计 基于AT89C51为核心的单片机控制的电子密码锁设计。本设计能完成开锁,修改密码,密码错误报警,LCD显示密码等基本的密码锁功能。设计的电路框如图1。 《 , 图一 & 电路的功能单元设计

1.单片机AT89C51组成基本框图 单片机引脚介绍 P0:P0口是一个漏极开路的8位双向I/O口。在访问片外存储器时P0分时提供低8位地址线和8位双向数据线。当不接片外存储器或不扩展I/O口时,P0可作为一个通用输入/输出口。P0口作输入口使用时,应先向口锁存器写“1”,P0口作输出口时,需接上拉电阻。 P1:P1口是一个内部提供上拉电阻的8位双向I/O口,因此它作为输出口使用时,无需再外接上拉电阻,当作为输入口使用时,同样也需先向其锁存器写“1”。 & P2:P2口也是一个内部提供上拉电阻的8位双向I/O口,在访问片外存储器时,输出高8位地址。 P3:P3口除了一般的准双向通用I/O口外,还有第二功能。 VCC:+5V电源 VSS:接地 ALE:地址锁存器控制信号。在系统扩展时,ALE用于控制把P0口输出的低8位地址锁存起来,以实现低位地址和数据的隔离。此外,由于ALE是以晶振1/6的固定频率输出的正脉冲,因此,可作为外部时钟或外部定时脉冲使用。 /PSEN:外部程序存储器读选通信号。在读外部ROM时,/PSEN有效(低电平),以实现外部ROM单元的读操作。 /EA:访问程序存储控制信号。当/EA信号为低电平时,对ROM的读操作限定在外部程序存储器;当/EA信号为高电平时,对ROM的读操作是从内部程序存储器开始,并可延至外部程序存储器。 RST:复位信号。当输入的复位信号延续两个机器周期以上的高电平时即为有效,用完

数字逻辑电路课程设计电子密码锁

数字逻辑电路课程设计 课题:电子密码锁设计 姓名: 班级:13通信 学号: 成绩: 指导教师: 开课时间:

目录 摘要 (1) 一课程设计目的内容及安排 (2) 1.1设计目的 (2) 1.2设计内容 (2) 1.3设计安排 (2) 1.4设计内容 (2) 二电子密码锁设计要求及总框图 (3) 2.1设计要求 (3) 2.2总框图 (4) 三各模块电路设计 (5) 3.1密码输入存储比较模块 (5) 3.2五秒计时电路 (6) 3.3二十秒计时电路 (8) 3.4报警电路 (10) 3.5总电路 (11) 四设计心得 (12) 五参考文献 (13)

电子密码锁 摘要:设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁;从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。密码输入存储及比较部分使用芯片74LS194及74LS85。五秒及时部分采用芯片74LS161和数码显示管。二十秒报警电路由74LS160,555定时器组成的多谐振荡器,LED灯和蜂鸣器组成。利用multisim对电路进行仿真可以得到结果。 关键词:电子密码锁,计时电路,报警电路

一课程设计目的内容及安排 1.1设计目的 1 根据设计要求,完成对交通信号灯的设计。 2 加强对Multisim10仿真软件的应用。 3 掌握交通信号灯的主要功能与在仿真软件中的实现方法。 4 掌握74LS160,74LS192等功能。 1.2 设计内容 设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁; 在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁; 从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。 1.3设计安排

数字电子技术课程设计电子密码锁

课程设计说明书课程名称:数字电子技术课程设计 题目:电子密码锁 学生姓名: 专业: 班级: 学号: 指导教师: 日期:年月日

电子密码锁 一、设计任务与要求 1.用电子器件设计制作一个密码锁,使之在输入正确的代码时开锁。 2.在锁的控制电路中设一个可以修改的4位代码,当输入的代码和控制电路 的代码一致是锁打开。 3.用红灯亮、绿灯灭表示关锁,绿灯亮、红灯灭表示开锁 4.如5s内未将锁打开,则电路自动复位进入自锁状态,并发报警信号。 二、方案设计与论证 1、用按键输入四位十进制数字,输入密码要存储。 2、比较输入密码和原始密码。当输入正确密码时,给出开锁信号,开锁信号用一个绿色指示灯表示,绿灯亮表示密码输入正确;如果输入密码不正确,用红灯表示。 3、锁的开关用红灯和绿灯表示,一次只能亮一盏。红灯亮、绿灯灭表示关锁,绿灯亮、红灯灭表示开锁。 4、设置倒计时电路和自锁电路。如果密码在5s内未能输入正确则发出报警声,并且自锁电路。 5、设置密码设置开关,开关闭合后,允许设置密码,设置好密码后,打开此开关。 6、需要在输入密码开始时识别输入,并由此触发计时电路。 方案一用74LS147译码器来把按键输入转化为二进制。通过8片四位寄存器74LS194实现密码功能,其中四片用来存储预置密码,另四片则用来存储输入的密码。当密码开始输入时开始计时,通过74LS192计数器实现计时功能;然后在密码输入期间,用74LS138数据选择器来选片存储。数据选择器的输入端又一个两位的二进制的加法计数器来控制,当键盘有按键输入时计数器就加1,当一个按键按完后会轮到下一个芯片存储。自锁功能利用74LS138来控制。通过四片74LS85芯片判断原始密码和输入密码是否相同,接着用指示灯来表示密码的输入正确与否,如果密码没有输入正确的话,则红灯亮,否则则绿灯亮。若是没在规定时间输入正确密码,则会发出警报信号(蜂鸣器响)。

基于单片机数字密码锁的设计要点

摘要 随着科技和人们的生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统机械锁由于构造简单,被撬事件屡见不鲜;电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的青睐。 单片机也被称微控器,是因为它最早被用在工业控制领域。单片机是靠程序运行的,并且可以修改。 本设计系统主机采用8052单片机,MCS-51单片机的程序存储器和数据存储器的地址空间是相互独立的,而且程序存储器一般为ROM或EPROM,只能读出不能写入。扩展用的程序存储器芯片大多采用EPROM芯片,最大可扩展到64K字节。该设计使用矩阵键盘输入。LED数码管显示输入密码,用74HC245驱动数码管发光显示数码,LCD1602控制显示。密码正确,二极管发光。输入密码错误次数超过三次系统报警,蜂鸣器发出报警音。 关键词:单片机软件电路硬件电路

目录 第一章设计要求 (1) 第二章系统组成及工作原理 (2) 第三章硬件电路设计 (3) 3.1 STC89C52单片机的介绍 (3) 3.2单片机最小系统 (5) 3.3键盘电路设计 (6) 3.4 LCD1602显示电路 (8) 3.5开锁电路 (11) 3.6报警电路 (11) 3.7仿真效果图 (12) 第四章软件设计 (13) 4.1 PROTEUS仿真软件 (13) 4.2 KEIL编译设计 (15) 4.3 普中ISP自动下载软件 (16) 4.4程序流程图 (18) 第五章设计、调试和测试结果与分析 (19) 第六章设计小结 (23) 参考文献 (24) 附录 (25)

第一章设计要求 采用单片机、LCD等芯片,设计电子密码锁,能随时修改密码,具有防多次试探功能,连续输入密码达到一定次数,发出光声报警密码输入错误时有报警功能,连续输入3次错误,键盘自锁,等待管理解锁;开锁后或修改密码后可以选择退出,返回开锁前状态。掌握Proteus软件的基本应用,用于设计与仿真,需要用PROTEUS软件绘制电路原理图及局部原理图;掌握单片机编程语言,可选用汇编语言或C语言; 本次课程设计是要设计一个数字密码锁,设计要求如下: 1、设计一个数字式密码锁。 2、密码由4 – 6位数字组成。 3、密码相符开锁,三次不符报警。 4、密码可以更新。

4位电子密码锁设计

电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。它的种类很多,有简易的电路产品,也有基于芯片的性价比较高的产品。应用较广的电子密码锁是以芯片为核心,通过编程来实现的。 本系统由STC89C52单片机系统(主要是STC89C52单片机最小系统)、4×4矩阵键盘、LCD1602显示和报警系统等组成,具有设置、修改4位电子密码、连续输入三次密码错误报警等功能。 关键词:STC89C52;LCD1602;4×4矩阵键盘;4位电子密码锁

In daily life and work, for security reasons, the department of housing and security, unit documents, financial statements and some personal information to save more in order to lock the ways to solve. Locking method with the traditional mechanical lock and emerging electronic combination lock. Compared with the traditional mechanical lock, because the electronic combination lock, the secrecy good, the large amount of programming, password variable, can call the police and other characteristics, so the performance and security of the electronic combination lock far from traditional mechanical lock. Electronic combination lock is a password or to control circuit, so as to control mechanical switches closed, lock, lock task of electronic products. It's a lot of more phyletic, has simple circuit products, also has a high cost performance products based on the chip. Widely used electronic coded lock based on chip as the core, through the programming. Key words: STC89C52; LCD1602; Electronic password lock; 4×4 keyboard

相关主题
文本预览
相关文档 最新文档