当前位置:文档之家› 编码译码显示电路测量

编码译码显示电路测量

编码译码显示电路测量
编码译码显示电路测量

实训编码、译码、显示电路的连接和测量

一、实验目的

1、掌握编码器、译码器、显示器的连接方法和工作原理。

2、掌握74LS147集成编码器、74LS138集成译码器、74LS47BCD七段译码驱动器、LC5011

数码显示器的使用、引脚定义及测量方法。

二、实验器材

1、电子技术综合实验台1台

2、万用表1个

3、74LS147集成编码器1个

4、74LS138集成译码器1个

5、74LS47BCD七段译码驱动器1个

6、LC5011数码显示器1个

7、发光二极管8个

8、与非门74LS20 2个

9、按钮开关10个

10、六非门74LS04 1个

11、导线若干

三、实验步骤

1、编码器

(1)元器件介绍

四输入双与非门—74LS20引脚排列如图2-1所示。

(2)用与非门电路实现8线-3线编码。使用两块74LS20完成如图2-2所示电路的连接,然后分别按下输入端的八个按钮开关,给输入端送入输入信号,观察输出端发光二极管的点亮情况,填写8线-3线逻辑功能表2-1.

(3)74LS147 10线-4线BCD优先编码器测试。74LS147的I0-I9为数据输入端(低电平有效),Y3-Y0为编码输出端。

将74LS147的输入端分别与十个按钮开关相接,按钮开关的另一端与地相接,74LS147的输出端分别接三个发光二极管的阴极,三个发光二极管的阳极统一接到+5V电源上。然后分别按下输入端的八个按钮开关,给输入端输入信号,观察输出端发光二极管的电量情况,是否与输入信号编码相一致(至于是反码输出)。然后根据所观测到的情况,请自行画出连接电路图,并编写74LS147的功能表。

图2-1四输入双与非门图2-2 8线-3线编码

2、 译码器

(1) 按照图2-3连接74LS138功能测试电路。

图2-3 74LS138功能测试原理图

(2) 用开关控制A 、B 、C 的输入信号,观察发光二极管的工作状态。

(3) 根据测试的发光二极管的发光状态,填写74LS138的功能表2-2. 表

2-2 74LS138功能表

3、 编码译码显示电路

(1) 元器件介绍

1)74LS147编码器的引脚排列图见图2-4.

图2-4 74LS47引脚排列

2)TFK-433共阳极显示器,引脚排列图见图2-5.

3)74LS47为七段共阳极译码器/驱动器,74LS47用来驱动共阳极的数码管,其引脚排列如图2-4所示,功能表如表2-3所示。74LS47的输出为低电平有效,即输出为0时,对应字段点亮;输出为1时对应字段熄灭。该译码显示器能够驱动七段显示器显示0-9及A-F共16个数字的字形。输入端A3、A2、A1、A0接受4位二进制码,输出端13、12、11、10、9、15和14分别驱动七段显示器的a、b、c、d、e、f、g段。

表2-3 74LS47功能表

LT为灯测试信号输入端,可测试出所有的输出信号;RBI为消隐输入端,用来控制发光显示器的亮度或禁止译码器输出;BI/RBO为消隐输入或串行消隐输出端,具有自动熄灭所显示的多位数字前后不必要零位的功能,在进行灯测试时,BI/RBO信号姚为高电平。

3)六非门74LS04,其引脚排列图见图2-5所示。

图2-5 74LS04六非门

(2)将74LS147、74LS04、74LS47和数码管按照图2-6所示的电路进行连接,组成译码、编码、显示电路。

(3)利用开关控制74LS147输入端的状态,观察4个发光二极管的发光状态,再观察7段数码显示管所显示的数字是否与输入信号一致,从而验证74LS147、74LS47的逻辑功能。

图2-6 编码、译码、显示电路原理图

四、实训报告

1、绘制各个环节的电路图,简要说明各个环节的作用。

2、根据所测试的各个环节的现象说明其逻辑功能。

3、分析实训中出现的问题及说明解决问题的方法。

五、思考题

1、如何测试一个数码管的好坏?

2、将译码器、编码器和七段显示器连接起来,接通电源后数码管显示0,试通过设计去掉0显示,使在没有数据输入时,数码管无显示,请画出电路图。

3、74LS47的管脚LT、BI/RBO、RBI功能是什么?

实验五 计数、译码、显示电路

. 实验五计数、译码、显示电路 一、实验目的 掌握中规模集成计数器74LS161及七段译码器CD4511的逻辑功能,掌握共阴极七段显示器的使用方法,熟悉用示波器测试计数器输出波形的方法。 二、实验原理 计数、译码、显示电路是由计数器、译码器和显示器三部分电路组成的逻辑电路。下面分别加以介绍。 1.计数器:计数器是一种中规模集成电路,其种类有很多。如果按照触发器翻转的次序分类,可分为同步计数器和异步计数器两种;如果按照计数数字的增减可分为加法计数器、减法计数器和可逆计数器三种;如果按照计数器进位规律又可分为二进制计数器、十进制计数器、可编程N进制计数器等多种。 常用计数器均有典型产品,不须自 己设计,只要合理选用即可。 本实验选用四位二进制同步计数 器74LS161做计数器,该计数器外加适 当的反馈电路可以构成十六进制以内 的任意进制计数器。图5-1是它的逻辑 图。这个电路除了具有二进制加法计数 功能外,还具有预置数、清零、保持的 功能。图中LD是预置数控制端,D、C、 R是清零 B、A是预置数据输入端, D 端,EP、ET是计数器使能控制端,RCO 是进位信号输出端,它的主要功能有: ①异步清零功能 R=0(输出低电平),则输出QD 若 D

QCQBQA=0000,除EP、ET信号外,与其它输入信号无关,也不需要CP脉冲的配合,所以称为“异步清零”。 ②同步并行置数功能 R=1,且LD=0的条件下,当CP上升沿到来后,触发器QDQCQBQA同时接收D、在 D C、B、A输入端的并行数据。由于数据进入计数器需要CP脉冲的作用,所以称为“同步置数”,由于4个触发器同时置入,又称为“并行”。 ③保持功能 R=1,LD=1的条件下,EP、ET两个使能端只要有一个低电平,计数器将处于数在 D 据保持状态,与CP及D、C、B、A输入无关。 ④计数功能 R=1、LD=1、EP=1、ET=1的条件下,计数器对CP端输入脉冲进行计数,计数方在 D 式为二进制加法,状态变化在QDQCQBQA=0000~1111间循环。74LS161的功能表详见表5-l所示。 表5-1 74LS161的功能表 本实验所需计数器是十进制计数器,必须对74LS161外加适当的反馈电路构成十进制计数器,状态变化在QDQCQBQA=0000~1001间循环。 用反馈的方法构成十进制计数器一般有两种形式,即和反馈置数法。反馈置零法是利用R构成,即:当Q D Q C Q B Q A=1010(十进制数10)时,通过反馈线强制计数器清零,清除端 D 如图5-2(a)所示。由于该电路会出现瞬间1010状态,会引起译码电路的误动作,因此很少被采用。反馈置数法是利用预置数端LD构成,把计数器输入端D1D2D2D3全部接地,当计数

计数、译码、显示电路综合应用

实验十二计数、译码、显示综合应用 一、实验目的 掌握中规模集成计数器74LS161及七段译码器CD4511的逻辑功能,掌握共阴极七段显示器的使用方法,熟悉用示波器测试计数器输出波形的方法。 二、实验原理 计数、译码、显示电路是由计数器、译码器和显示器三部分电路组成的逻辑电路。下面分别加以介绍。 1.计数器:计数器是一种中规模集成电路,其种类有很多。如果按照触发器翻转的次序分类,可分为同步计数器和异步计数器两种;如果按照计数数字的增减可分为加法计数器、减法计数器和可逆计数器三种;如果按照计数器进位规律又可分为二进制计数器、十进制计数器、可编程N进制计数器等多种。 常用计数器均有典型产品,不须自 己设计,只要合理选用即可。 本实验选用四位二进制同步计数 器74LS161做计数器,该计数器外加适 当的反馈电路可以构成十六进制以内 的任意进制计数器。图5-1是它的逻辑 图。这个电路除了具有二进制加法计数 功能外,还具有预置数、清零、保持的 功能。图中LD是预置数控制端,D、C、 R是清零 B、A是预置数据输入端, D 端,EP、ET是计数器使能控制端,RCO 是进位信号输出端,它的主要功能有: ①异步清零功能 R=0(输出低电平),则输出QD 若 D QCQBQA=0000,除EP、ET信号外, 与其它输入信号无关,也不需要CP脉冲的配合,所以称为“异步清零”。 ②同步并行置数功能 R=1,且LD=0的条件下,当CP上升沿到来后,触发器QDQCQBQA同时接收D、在 D

C、B、A输入端的并行数据。由于数据进入计数器需要CP脉冲的作用,所以称为“同步置数”,由于4个触发器同时置入,又称为“并行”。 ③保持功能 R=1,LD=1的条件下,EP、ET两个使能端只要有一个低电平,计数器将处于数在 D 据保持状态,与CP及D、C、B、A输入无关。 ④计数功能 R=1、LD=1、EP=1、ET=1的条件下,计数器对CP端输入脉冲进行计数,计数在 D 方式为二进制加法,状态变化在QDQCQBQA=0000~1111间循环。74LS161的功能表详见表10-l所示。 表10-1 74LS161的功能表 本实验所需计数器是十进制计数器,必须对74LS161外加适当的反馈电路构成十进制计数器,状态变化在QDQCQBQA=0000~1001间循环。 用反馈的方法构成十进制计数器一般有两种形式,即和反馈置数法。反馈置零法是利用R构成,即:当Q D Q C Q B Q A=1010(十进制数10)时,通过反馈线强制计数器清零,清除端 D 如图10-2(a)所示。由于该电路会出现瞬间1010状态,会引起译码电路的误动作,因此很少被采用。反馈置数法是利用预置数端LD构成,把计数器输入端D1D2D2D3全部接地,当计数器计到1001(十进制数9)时,利用Q D Q A反馈线使预置端LD=0,则当第十个CP到来时,计数器输出端等于输入端电平,即:Q D=Q C=Q B=Q A=0,这样可以克服反馈置零法的缺点。利用预置端LD构成的计数器电路如图10-2(b)所示。

数电实验报告实验六计数译码显示综合实验整理版.docx

数电实验报告 实验六 计数、译码、显示综合实验 姓名: 学号: 班级: 院系: 指导老师: 2016年

目录 实验目的: (22) 实验器件与仪器: (22) 实验原理: (33) 用同步清零端或置数端置零或置数构成N进制计数器 (33) 用同步清零端或置数端置零或置数构成N进制计数器 (33) 实验内容: (44) 实验过程: (55) 实验总结: (66) 实验: 实验目的: 1.熟悉中规模集成电路计数器的功能及应用。 2.熟悉中规模集成电路译码器的功能及应用。 3.熟悉LED数码管及显示电路的工作原理。 4.学会综合测试的方法。 实验器件与仪器: 1.实验箱、万用表、示波器。

2.74LS160、74LS48、74LS20 实验原理: 对于计数规模小的计数器,我们使用集成触发器来设计计数器,但是如果计数器的模数达到十六以上(如六十进制)时,如果还是用集成触发器来设计的话,电路就比较复杂了。在这种情况下,我们可以用集成计数器来构成任意进制计数器。利用集成计数器的清零端和置数端实现归零,从而构成按自然态序进行计数的N进制计数器的方法。 用同步清零端或置数端置零或置数构成N进制计数器用这种方法的实现步骤如下: 1)写出状态S N-1的二进制代码。 2)求归零逻辑,即求同步清零端或置数控制端信号的逻辑表达式 3)画连线图 用同步清零端或置数端置零或置数构成N进制计数器用这种方法的实现步骤如下: 1)写出状态S N得二进制代码 2)求归零逻辑,即求异步清零端或置数控制端信号的逻辑表达式

3)画连线图 在集成计数器中,清零、置数均采用同步方法的有74LS163;均采用异步方法的有74LS193、74LS197、74LS192;清零采用异步方法、置数采用同步方法的有74LS161、74LS160;有的只具备异步清零功能,如CC4520、74LS190、74LS191;74LS90则具有异步清零和异步置9功能。 实验内容: 1.用集成计数器74LS160分别组成8421码十进制和六进制计数器, 然后连接成一个60进制计数器(6进制为高位,10进制位低位)。 使用实验箱上的LED译码显示电路显示(注意高低位顺序及最高位的处理)。用函数发生器的低频连续脉冲(调节频率为1-2Hz)作为计数器的计数脉冲。通过数码管观察计数、译码、显示电路的功能是否正确。 2.设计一个时间计数器,具有分钟和秒计时功能的计数器。

实验三 译码显示电路

专业计算机类实验人 实验题目:译码显示电路2015年 5 月 9日 一、实验目的: 1、掌握中规模集成译码器的逻辑功能和使用方法 2、熟悉数码管的使用 二、实验仪器及器件: 1、数字电路实验箱、数字万用表、示波器。 2、器件:74LS48X1, 74LS194X1, 74LS73X1, 74LS00X2 三、实验预习: 1、复习有关译码显示原理。 2、根据实验任务,画出所需的实验线路及记录表格。 四、实验原理: 1、数码显示译码器: (1)七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示器,图(一)(a)、(b)为共阴管和共阳管的电路,(C)为两种不同出线形式的引出脚功能图。 一个LED数码管可用来显示一位0--9十进制数和一个小数点。小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~2.5V,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。

专业计算机类实验人 实验题目:译码显示电路2015年 5 月 9日 (2)BCD码七段译码驱动器 此类译码器型号有74LS47(共阳),74LS48(共阴),CC4511(共阴)等,本实验系采用'74LS48 BCD码锁存/七段译码/驱动器。驱动共阴极LED数码管。 图(二)为74LS48引脚排列。其中A、B、C、D - BCD码输入端,a、b、c、 d、e、f、g——译码输出端,输出“1"有效,用来驱动共阴极LED数码管。 错误!未找到引用源。- 灯测试输入端,错误!未找到引用源。=“0”时,译码输出全为“1” 错误!未找到引用源。- 灭零输入端,错误!未找到引用源。=“0”时,不显示多余的零。 错误!未找到引用源。/错误!未找到引用源。-作为输入使用时,灭灯输入控制端; 作为输出端使用时,灭零输出端。 2、扫描式显示 对多位数字显示采用扫描式显示可以节电,这一点在某些场合很重要。对于某些系统输出的的数据,应用扫描式译码显示,可使电路大为简化。有些系统,比如计算机,某些A/D转换器,是以这样的形式输出数据的:由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD码,如图(三)所示。图中的Ds 称为选通信号,并假定系统按先高位后低位的顺序送出数据,当Ds1高电平送出千位数,Ds2高电平送出百位数,……一般Ds的高电平相邻之间有一定的间隔,选通信号可用节拍发生器产生。 如图(四)所示,为这种系统的译码扫描显示的原理图。图中各片LED(共阴)的发光段并连接至译码器的相应端,把数据输入的相应权端与系统输出端相连,把各位选通端反相后接相应LED的公共端。f(A)使数据输入是伪码(8421BCD中的1010-1111)时使f(A)=0,伪码灭灯。接译码器的灭灯I B端,使不显示伪码。

数电实验 编码与译码显示电路

实验二:编码与译码显示电路 一:实验目的 1.掌握中规模集成编码器及译码器的逻辑功能测试方法。 2.掌握编码器译码器的使用方法, 3.熟悉仿真工具的使用。 二:实验设备与器件 直流稳压电源,数字多用表,数字电路实验箱,三位二进制优先编码器,七段译码器,二输入与非门,双四输入与非门,六反相器。 四:实验内容 1.测试电路: 通信工程2014117308 周童桐

2.多位显示电路,要求具有灭零功能。 3.依据题目设计电路并仿真。 题目:若将八路服务信号按轻重缓急安排优先级别后,作为医院病房的八个呼叫信号,在护士值班室放置数码管显示电路,这样,当病号按下呼叫按钮发出呼叫信号时,护士值班室显示相应呼叫号码,并产生提示声音,在护士的按下处理按钮后,电路又回到等待呼叫状态,等待新的呼叫,设计上述控制电路及声音提醒电路并测试结果并用报警电路报警。

真值表: K0 Y2 Y1 Y0 A3 A2 A1 A0 I0 K1 1 1 1 0 0 0 1 I1 K2 1 1 0 0 0 1 0 I2 K3 1 0 1 0 0 1 1 I3 K4 1 0 0 0 1 0 0 I4 K5 0 1 1 0 1 0 1 I5 K6 0 1 0 0 1 1 0 I6 K7 0 0 1 0 1 1 1 I7 K8 0 0 0 1 0 0 0 依据真值表列式并计算 化简后得: A0=Y0 A1=Y0Y1’+Y0’Y1 A2=Y0’Y1’Y2+Y0Y1’Y2’+Y1Y2’ A3=Y0’Y1’Y2’ 依据化简后,设计电路。 依据电路图进行仿真:

应用74LS148编码部分: 优先显示电路部分:蜂鸣器电路:

计数、译码、显示电路实验

创作编号: BG7531400019813488897SX 创作者:别如克* 实验五计数、译码、显示电路 一、实验目的 掌握中规模集成计数器74LS161及七段译码器CD4511的逻辑功能,掌握共阴极七段显示器的使用方法,熟悉用示波器测试计数器输出波形的方法。 二、实验原理 计数、译码、显示电路是由计数器、译码器和显示器三部分电路组成的逻辑电路。下面分别加以介绍。 1.计数器:计数器是一种中规模 集成电路,其种类有很多。如果按照触 发器翻转的次序分类,可分为同步计数 器和异步计数器两种;如果按照计数数 字的增减可分为加法计数器、减法计数 器和可逆计数器三种;如果按照计数器 进位规律又可分为二进制计数器、十进 制计数器、可编程N进制计数器等多 种。 常用计数器均有典型产品,不须自

己设计,只要合理选用即可。 本实验选用四位二进制同步计数器74LS161做计数器,该计数器外加适当的反馈电路可以构成十六进制以内的任意进制计数器。图5-1是它的逻辑图。这个电路除了具有二进制加法计数功能外,还具 有预置数、清零、保持的功能。图中LD是预置数控制端,D、C、B、 R是清零端,EP、ET是计数器使能控制端,A是预置数据输入端, D RCO是进位信号输出端,它的主要功能有: ①异步清零功能 R=0(输出低电平),则输出QDQCQBQA=0000,除EP、ET 若 D 信号外,与其它输入信号无关,也不需要CP脉冲的配合,所以称为“异步清零”。 ②同步并行置数功能 R=1,且LD=0的条件下,当CP上升沿到来后,触发器QD 在 D QCQBQA同时接收D、C、B、A输入端的并行数据。由于数据进入计数器需要CP脉冲的作用,所以称为“同步置数”,由于4个触发器同时置入,又称为“并行”。 ③保持功能 R=1,LD=1的条件下,EP、ET两个使能端只要有一个低在 D 电平,计数器将处于数据保持状态,与CP及D、C、B、A输入无关。 ④计数功能 R=1、LD=1、EP=1、ET=1的条件下,计数器对CP端输入在 D 脉冲进行计数,计数方式为二进制加法,状态变化在QDQCQBQ =0000~1111间循环。74LS161的功能表详见表5-l所示。 A 表5-1 74LS161的功能表

实验五编码、译码、显示电路

实验五 编码、译码、显示电路 一、实验目的 1. 学习编码器原理及基本电路。 2. 熟悉七段译码器的逻辑功能和使用。 3. 掌握七段显示器的使用方法。 4. 进一步学习组合电路的应用。 二、实验用元器件 编码器74LS148×2 全加器74LS283×1 显示译码器4511×2 四2输入与非门74LS00×2 编码、译码、显示电路是由编码、译码器和显示器三部分电路组成的逻辑电路。下面分别加以介绍。 1. 编码器 实验中选用被广泛使用的74LS148集成8-3优先编码器。常用于优先中断系统、键盘编码等,引脚图如图2-1。共有9个输入引脚,一个使能端和8个编码输入,均为低电平有效,即输入“0”表示有输入,0~7输入的优先级 由低到高排列,优先级高的 输入有效时,优先级低的输入不起作用。输出为反码,如输入0号端有效时,如输出原码为“000”,实际输出“111”。功能见表2-1。可以将多片编码器扩展成更多二进制码,通过高位使能输出去控制低位编码器的使能输入,实现芯片之间的优先级,再将输出作相应处理,CS 是工作状态标志,如图2-2所示。 图2-1 74LS148的引脚图

表2-1 74LS148优先编码器的功能表 图2-2 优先编码器的扩展 2.全加器 实验中建议使用74LS283全加器,它将A0A1A2A3和B0B1B2B3相加,和由S0S1S2S3输出,C-1为进位输入,Co为进位输出。引脚图见图2-3。

图 2-3 74LS283全加器引脚图 图2-4 4511译码器 3. 译码器 这里所说的译码器是将二进制码译 成十进制数字符的器件。实验中选用的CD4511是一个BCD 码七段译码器,并兼有驱动功能,内部没有限流电阻,与数码管相连接时,需要在每段输出接上限流电阻,引脚排列见图2-4。表2—2是CD4511功能表, CD4511只能对0~9的数字译码,超出范围将无显示。 表3-2 CD4511功能表 4. LED 数码显示器 数码显示器采用八段发光二极管显示器,它可直接显示出译码器输出的十进制数。七段发光显示器有共阴接法和共阳接法两种:共阴接法就是把发光二极管的阴极都接在一个公共点 (接地),其引脚排列和内部原理如图2-5(a )所示, 配套的译码器为CD4511,

七段译码器显示电路

数字显示译码器 在数字系统中,常需要将数字、文字或符号等直观地显示出来。能够显示数字、文字或符号的器件称为显示器。数字电路中的数字量都是以一定的代码形式出现的,所以这些数字量要先经过译码,才能送到显示器去显示。这种能把数字量翻译成数字显示器所能识别的信号的译码器为数字显示译码器。 数字显示器有多种类型。按显示方式分,有字型重叠式、点阵式、分段式等。按发光物质分,有半导体显示器,又称发光二极管(LED)显示器、荧光显示器、液晶显示器、气体放电管显示器等。目前应用较广泛的是由发光二极管构成的七段数字显示器。 ①七段数字显示器 图6-53为发光二极管构成的七段数字显示器。它是将七个发光二极管(小数点也是一个发光二极管,共八个)按一定的方式排列起来,七段a、b、c、d、e、f、g(小数点DP)各对应一个发光二极管,利用不同发光段的组合,显示不同的阿拉伯数字。 (a)(b) 图6-53 七段数字显示器 (a)数字显示器(b)显示的数字 根据七个发光二极管的连接形式不同,七段数字显示器分为共阴极和共阳极接法两种。 (a)(b) 图6-54 七段数字显示器的内部接法 (a)共阳极(b)共阴极 图6-54(a)是共阳极接法,它是将七个发光二极管的阳极连在一起作公共端,使用时要接高电平。发光二极管的阴极经过限流电阻接到输出低电平有效的七段译码器相应的输出端。 图6-54(b)所示是共阴极接法,它是将七个发光二极管的阴极连在一起作公共端,使用时要接低电平。发光二极管的阳极经过限流电阻接到输出高电平有效的七段译码器相应的输出端。 改变限流电阻的阻值,可改变发光二极管电流的大小,从而控制显示器的发

计数、译码、显示电路41471

计数、译码、显示电路实验报告 实验目的 1.掌握集成十进制计数器、显示译码驱动器及数码管的功能与使用方法。 2.学习译码器和共阳极七段显示器的使用方法。 3.进一步熟悉用示波器测试计数器输出波形的方法。 一、实验原理 生活中常需要将计数脉冲值直观的显示出来,它的实现一般经过了下面几个步骤,如图,输出的脉冲信号通过显示器显示出相应的数字。 图3.7.1 计数、译码、显示框图 1.计数器 输入的脉冲数通过计数器计数,并将结果用8421 BCD码表示出来,本实验中采用了一种十进制计数器74LS160。 以74160为例,通过对集成计数器功能和应用的介绍,帮助读者提高借助产品手册上给出的功能表,正确而灵活地运用集成计数器的能力。 (1)74LS160的功能介绍 74LS160为十进制可预置同步计数器,其逻辑符号如图,功能表见表 表3.7.l74LS160的功能表

L × × × × × × × × L L L L H L × × ↑ d 0 d 1 d 2 d 3 d 0 d 1 d 2 d 3 H H H H ↑ × × × × 计 数 H H L × × × × × × 保 持 H H × L × × × × × 保 持 注意: 3210Q Q Q Q CT CO T = 计数器有下列输入端:异步清零端CR (低电平有效),时钟脉冲输入端CP , 同步并行置数控制LD (低电平有效),计数控制端 CT T 和 CTp ,并行数据输入端 D 0~D 3。它有下列输出端:四个触发器的输出端Q 0~Q 3,进位输出CO 。 根据功能表3.7.l ,可看出74160具有下列功能: ① 异步清零功能:若CR 输入低电平,则不管其他输入端(包括CP 端)如何,实现四个触发器全部清零。由于这一清零操作不需要时钟脉冲CP 配合(即不管CP 是什么状态都行),所以称为“异步清零”。 ② 同步并行置数功能:在CR =“1”、且LD =“0”的前提下,在CP 上升 沿的作用下,触发器Q 0~Q 3 分别接收并行数据输入信号D 0~D 3,由于这个置数操作必须有 CP 上升沿配合, 并与CP 上升沿同步,所以称为“同步”的。 由于四个触发器同时置入,所以称为“并行”。 ③ 同步十进制加计数功能:在CR =“1”, 图3.7.2 74LS160逻辑符号 LD =“1”的前提下,若计数控制端CT T =CTp=“1”,则对计数脉冲CP 实现同步十进制加计数。这里,“同步”二字既表明计数器是“同步”,而不是“异步”结构,又暗示各触发器动作都与CP (上升沿)同步。 ④ 保持功能:LD CR ==“1”的前提下,若CT T ·CT P =“0”, 即两个计数器控制端中至少有一个输入0,则不管CP 如何(包括上升沿),计数器中各触发

实验四 译码显示电路

实验四译码显示电路 The Standardization Office was revised on the afternoon of December 13, 2020

实验四译码显示电路 一、实验目的: 1、掌握中规模集成译码器的逻辑功能和使用方法 2、熟悉数码管的使用 二、实验仪器及器件: 三、实验步骤及结果 1、按表(二)测试74LS1940 测试结果略 2、实现四节拍顺序脉冲发生器 (1)实验电路图及74LS194功能表 图(一)表(二)74LS194功能表

(2)实验结果 (3)实验波形(Q3 Q2 Q1 Q0分别代表Q D Q C Q B Q A) Q3 Q3与Q2 Q3与Q1 Q3与Q0

3、按图(四)实现四位扫描译码显示电路。采用内容(2)顺序脉冲作为D s 信号。8421BCD 码用逻辑模拟开关输入。自行设计伪码灭灯电路,使正常输入BCD 码时输出为“1",伪码输入时灭灯。 (1) 设计伪码灭灯电路及其电路图 f(A)=(A3(A2’A1’)’)’ (2) 四位扫描译码显示电路原理图 A1A0 A3A2 00 01 11 10 00 1 1 1 1 01 1 1 1 1 11 0 0 0 0 10 1 1

(3)实验预期结果(LED显示)(由于实验箱损坏,实验时无法得到正确的LED数码管的显示数字,这里仅用预期结果表示) 4、自行设计电路在4联装LED数码管同时显示出4个不同的0-7的数字。 使用74LS48上的L1S(Gi)’(i=1,2,3,4)端口。要使第i个显示器显示i,接逻辑电路Yi,使得Yi只有在Ai表示i时为0,其他时候均为1,将之接为L1S(Gj)’=0(j=i,0表示有效),L1S(Gj)’=1(j≠i) 电路图如下:

(Multisim数电仿真)计数、译码和显示电路Word版

实验3.11 计数、译码和显示电路 一、实验目的: 1. 掌握二进制加减计数器的工作原理。 2. 熟悉中规模集成计数器及译码驱动器的逻辑功能和使用方法。 二、实验准备: 1.计数: 计数是一种最简单、最基本的逻辑运算,计数器的种类繁多,如按计数器中

图 图3.11.2 另外一种可预计的十进制加减可逆计数器CD4510,用途也非常广,其引脚排列如图3.11.3所示,其中,E P 为预计计数使能端,in C 为进位输入端, 1P ~4P 为预计的输入端,out C 为进位输出端,U /D 为加减控制端,R 为复位端,CD4510输入、输出间 的逻辑功能如表3.11.2所示。 表3.11.2: 。 2. 译码与显示: 十进制计数器的输出经译码后驱动数码管,可以 显示0~9十个数字,CD4511是BCD~7段译码驱动集

成电路,其引脚排列如图

3.11.4所示。LT为试灯输入,BI为消隐输入,LE为锁定允许输入,A、 B、C、D为BCD码输入,a~g为七段译码。CD4511的逻辑功能如表3.11.3所示。 LED数码管是常用的数字显示器,分共阴和共阳两种,BS112201是共阴的磷化镓数码管,其外形和内部结构如图3.11.5所示。 图3.11.4 图3.11.5

1110 1111 三、计算机仿真实验内容: 1. 计数10的电路: (1). 单击电子仿真软件Multisim7基本界面左侧左列真实元件工具条“CMOS”按钮,从弹出的对话框“Family”栏中选“CMOS_10V”,再在“Component”栏中选取4093BD和4017BD各一只,如图3.11.6所示,将它们放置在电子平台上。

计数器实验报告

实验4 计数器及其应用 一、实验目的 1、学习用集成触发器构成计数器的方法 2、掌握中规模集成计数器的使用及功能测试方法 二、实验原理 计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。 1、中规模十进制计数器 CC40192是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如图5-9-1所示。 图5-9-1 CC40192引脚排列及逻辑符号 图中LD—置数端 CP U—加计数端 CP D—减计数端 CO—非同步进位输出端BO—非同步借位输出端 D0、D1、D2、D3—计数器输入端 Q0、Q1、Q2、Q3—数据输出端 CR—清除端

CC40192的功能如表5-9-1,说明如下: 表5-9-1 输 入 输 出 CR LD CP U CP D D 3 D 2 D 1 D 0 Q 3 Q 2 Q 1 Q 0 1 × × × × × × × 0 0 0 0 0 0 × × d c b a d c b a 0 1 ↑ 1 × × × × 加 计 数 0 1 1 ↑ × × × × 减 计 数 当清除端CR 为高电平“1”时,计数器直接清零;CR 置低电平则执行其它功能。 当CR 为低电平,置数端LD 也为低电平时,数据直接从置数端D 0、D 1、D 2、D 3 置入计数器。 当CR 为低电平,LD 为高电平时,执行计数功能。执行加计数时,减计数端CP D 接高电平,计数脉冲由CP U 输入;在计数脉冲上升沿进行 8421 码十进制加法计数。执行减计数时,加计数端CP U 接高电平,计数脉冲由减计数端CP D 输入,表5-9-2为8421码十进制加、减计数器的状态转换表。 表5-9-2 加法计数 输入脉冲数 0 1 2 3 4 5 6 7 8 9 输出 Q 3 0 0 0 0 0 0 0 0 1 1 Q 2 1 1 1 1 Q 1 0 0 1 1 0 0 1 1 0 0 Q 0 1 0 1 1 1 1 减计数 2、计数器的级联使用 一个十进制计数器只能表示0~9十个数,为了扩大计数器范围,常用多个十进制计数器级联使用。 同步计数器往往设有进位(或借位)输出端,故可选用其进位(或借位)输出信号驱动下一级计数器。 图5-9-2是由CC40192利用进位输出CO 控制高一位的CP U 端构成的加数级联图。

数电实验--译码显示电路

译码显示电路 二、实验仪器及器件: 1、数字电路实验箱、数字万用表、示波器。 2、器件:74LS48X1, 74LS194X1, 74LS73X1, 74LS00X2 三、实验预习: 1、复习有关译码显示原理。 2、根据实验任务,画出所需的实验线路及记录表格。 四、实验原理: 1、数码显示译码器: (1)七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示器,图(一)(a)、(b)为共阴管和共阳管的电路,(C)为两种不同出线形式的引出脚功能图。 一个LED数码管可用来显示一位0--9十进制数和一个小数点。小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~2.5V,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。

(2)BCD码七段译码驱动器 此类译码器型号有74LS47(共阳),74LS48(共阴), 段译码/驱动器。驱动共阴极LED数码管。 图(二)为74LS48引脚排列。其中A、B、C、D - BCD 码输入端,a、b、c、d、e、f、g——译码输出端,输出 “1"有效,用来驱动共阴极LED数码管。 - 灯测试输入端,=“0”时,译码输出全为“1” - 灭零输入端,=“0”时,不显示多余的零。 作为输出端使用时,灭零输出端。 2、扫描式显示 对多位数字显示采用扫描式显示可以节电,这一点在某些场合很重要。对于某些系统输出

的的数据,应用扫描式译码显示,可使电路大为简化。有些系统,比如计算机,某些A/D 转换器,是以这样的形式输出数据的:由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD码,如图(三)所示。图中的Ds称为选通信号,并假定系统按先高位后低位的顺序送出数据,当Ds1高电平送出千位数,Ds2高电平送出百位数,……一般Ds的高电平相邻之间有一定的间隔,选通信号可用节拍发生器产生。 如图(四)所示,为这种系统的译码扫描显示的原理图。图中各片LED(共阴)的发光段并连接至译码器的相应端,把数据输入的相应权端与系统输出端相连,把各位选通端反相后接相应LED的公共端。f(A)使数据输入是伪码(8421BCD中的1010-1111)时使f(A)=0,伪码灭灯。接译码器的灭灯I B端,使不显示伪码。 3、四节拍发生器 扫描显示要求数码管按先后顺序显示。这就要求如图(三)所示的选通信号。通常该类型的信号称为节拍信号。如果使用的数码管是共阴极型,则选通信号是图(三)的反相。如图(五)所示就是这种节拍信号发生器。

实验五 编码译码显示

实验五 编码译码显示 一 实验目的 1了解编码,译码及数码显示器的工作原理; 2掌握组合逻辑电路的实验分析方法。 二 实验原理 编码,译码电路是数字系统常用的逻辑器件,将文字,数字,符号,状态,指令等编制成对应的二进制编码;用来完成边编码工作的数字电路称为编码器。编码器常用2^n ~n 线编码器; 译码,编码的逆过程,将多位二进制代码翻译出来的过程称为译码。对于n 位二进制代码,可翻译出2^n 个状态,译码器常用n~2^n 线译码器。 三 实验仪器 1. 多功能电路实验箱1台; 2. 数字万用表1台。 四 实验内容 1编码功能检验: 按图1搭接电路,令K7~K0分别作为I7~I0,Y2~Y0接逻辑显示器L2~L0;根据表1检验编码器功能。 2译码器功能检验: 按图16搭接电路,令K2~K0分别作为A2~A0,Y7’~Y0’接逻辑显示器L7~L0;根据表2检 I1'I2'I3'I4'I5'I6'I7' 图1 编码器逻辑电路

验74LS138译码器功能; 3. 译码显示器功能检验: 按图7搭接电路,令K3~K0分别作为A3~A0,根据表3检验译码显示器功能。 图7 显示译码器及显示器件检验 4.联锁器电路分析: 所谓联锁器即为电子锁,电路如图2所示,其输入为S1,S2,S3开关,报警和解锁输出分别为F1,F1.其中S1,S2,S3为单刀双掷开关,根据拨动可分别置”1” 或”0”.当F1=”1”,表示不报警,否则报警。当F2=”1”,表示解锁,否则闭锁。现要求: (1) 当连联锁器处于初始状态(S1=S2=S3=1), 则F1=1,F2=0,即闭锁不报警; (2) 试用所学的知识分析电路,找出解锁并不报警的开关顺序。 U1 L7L6L5L4L3L2L1L0

2016译码显示电路实验报告

实验四译码显示电路 一、实验目的 1. 掌握中规模集成译码器的逻辑功能和使用方法 2. 熟悉数码管的使用 二、实验仪器及器件 1.器件:74LS48, 74LS194 , 74LS73,74LS00 ,74LS197, 74LS153, 74LS138,CLOCK,MPX4-CC-BULE, MPX8-CC-BULE, 及相关逻辑门 三、实验预习 1. 复习有关译码显示原理。 2. 根据实验任务,画出所需的实验线路及记录表格。 四、实验原理 1. 数码显示译码器 (1)七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示器,图(一)(a)、(b)为共阴管和共阳管的电路,(c)为两种不同出线形式的引出脚功能图。(注:实验室实验箱上数码管为共阴四位数码管) 一个LED数码管可用来显示一位0~9十进制数和一个小数点。小型数码管(寸和寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。

(a) 共阴连接(“1”电平驱动)(b) 共阳连接(“0”电平驱动) (c) 符号及引脚功能 图(一)LED数码管 (2)BCD码七段译码驱动器 此类译码器型号有74LS47(共阳),74LS48(共阴),CC4511(共阴)等,本实验系采用74LS48 BCD码锁存/七段译码/驱动器。驱动共阴极LED数码管。

图(二)为74LS48引脚排列。其中 A 0、A 1、A2、A 3— BCD 码输入端 a 、 b 、 c 、 d 、 e 、 f 、 g — 译码输出端,输出“1”有效,用来驱动共阴极LED 数码管。 LT — 灯 测试输入端,LT =“0”时,译码输出全为“1” BI R — 灭 零 输入端,BI R =“0”时,不显示多余的零。 RBO /BI — 作为输入使用时,灭灯输入控制端;作为输出端使用时,灭零输出端。 注:在实验箱上使用了两个4位数码管,对应已经连接好74LS48,如图(四),实验时无需再连线,74LS48只保留引出了A 0、A1、A 2、A 3四个引脚 。在实验箱左上角的P10、P11、P12、P13(P20、P21、P22、P23)代表第一(二)块数码管的BCD 码(即A 0、A 1、A 2、A 3端)输入,DIG1~DIG8分别代表8位数码管的位选端。 2. 扫描式显示 对多位数字显示采用扫描式显示可以节电,这一点在某些场合很重要。对于某些系统输出的的数据,应用扫描式译码显示,可使电路大为简化。利用数码管的余辉效应和人眼的视觉暂留效应,虽然在某一时刻只有一个数码管在显示,但人眼看到的是多个数码管“同时”被点亮的效果。有些系统,比如计算机,某些A/D 转换器,是以这样的形式输出数据的:由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD 码,如图(三)所示。图中的Ds 称为选通信号,并假定系统按先高位后低位的顺序送出数据,当Ds1低电平送出千位数,Ds2低电平送出百位数,……一般Ds 的低电平相邻之间有一定的间隔,选通信号可用节拍发生器产生。 如图(四)所示,为这种系统的译码扫描显示的原理图。图中各片LED (共阴)的发光段并连接至译码器的相应端,把数据输入的相应端与系统输出端相连,把各位选通端反向后接相应LED 的公共端。

BCD七段数码管显示译码器电路

BCD七段数码管显示译码器电路 7段数码管又分共阴和共阳两种显示方式。如果把7段数码管的每一段都等效成发光二极管的正负两个极,那共阴就是把abcdefg这7个发光二极管的负极连接在一起并接地;它们的7个正极接到7段译码驱动电路74LS48的相对应的驱动端上(也是abcdefg)!此时若显示数字1,那么译码驱动电路输出段bc为高电平,其他段扫描输出端为低电平,以此类推。如果7段数码管是共阳显示电路,那就需要选用74LS47译码驱动集成电路。共阳就是把abcdefg的7个发光二极管的正极连接在一起并接到5V电源上,其余的7个负极接到74LS47相应的abcdefg输出端上。无论共阴共阳7段显示电路,都需要加限流电阻,否则通电后就把7段译码管烧坏了!限流电阻的选取是:5V电源电压减去发光二极管的工作电压除上10ma到15ma得数即为限流电阻的值。发光二极管的工作电压一般在1.8V--2.2V,为计算方便,通常选2V即可!发光二极管的工作电流选取在10-20ma,电流选小了,7段数码管不太亮,选大了工作时间长了发光管易烧坏!对于大功率7段数码管可根据实际情况来选取限流电阻及电阻的瓦数! 发光二极管(LED)由特殊的半导体材料砷化镓、磷砷化镓等制成,可以单独使用,也可以组装成分段式或点阵式LED显示器件(半导体显示器)。分段式显示器(LED数码管)由7条线段围成8型,每一段包含一个发光二极管。外加正向电压时二极管导通,发出清晰的光,有红、黄、绿等色。只要按规律控制各发光段的亮、灭,就可以显示各种字形或符号。图4 - 17(a)是共阴式LED数码管的原理图,图4-17(b)是其表示符号。使用时,公共阴极接地,7个阳极a~g由相应的BCD七段译码器来驱动(控制),如图4 - 17(c)所示。 BCD七段译码器的输入是一位BCD码(以D、C、B、A表示),输出是数码管各段的驱动信号(以F a~F g表示),也称4—7译码器。若用它驱动共阴LED数码管,则输出应为高有效,即输出为高(1)时,相应显示段发光。例如,当输入8421码DCBA=0100时,应显示,即要求同时点亮b、c、f、g段,熄灭a、d、e段,故译码器的输出应为F a~F g=0110011,这也是一组代码,常称为段码。同理,根据组成0~9这10个字形的要求可以列出8421BCD七段译码器的真值表,见表4 - 12(未用码组省略)。

计数译码显示电路实验

实验五计数、译码、显示电路 一、实验目的 掌握中规模集成计数器74LS161及七段译码器CD4511的逻辑功能,掌握共阴极七段显示器的使用方法,熟悉用示波器测试计数器输出波形的方法。 二、实验原理 计数、译码、显示电路就是由计数器、译码器与显示器三部分电路组成的逻辑电路。下面分别加以介绍。 1.计数器:计数器就是一种中规模集成电路,其种类有很多。如果按照触发器翻转的次序分类,可分为同步计数器与异步计数器两种;如果按照计数数字的增减可分为加法计数器、减法计数器与可逆计数器三种;如果按照计数器进位规律又可分为二进制计数器、十进制计数器、可编程N进制计数器等多种。 常用计数器均有典型产品,不须自 己设计,只要合理选用即可。 本实验选用四位二进制同步计数 器74LS161做计数器,该计数器外加适 当的反馈电路可以构成十六进制以内 的任意进制计数器。图5-1就是它的逻 辑图。这个电路除了具有二进制加法计 数功能外,还具有预置数、清零、保持的 功能。图中LD就是预置数控制端,D、 R就是 C、B、A就是预置数据输入端, D 清零端,EP、ET就是计数器使能控制 端,RCO就是进位信号输出端,它的主要 功能有: ①异步清零功能

R=0(输出低电平),则输出QDQCQBQA=0000,除EP、ET信号外,与其它输入信号无若 D 关,也不需要CP脉冲的配合,所以称为“异步清零”。 ②同步并行置数功能 R=1,且LD=0的条件下,当CP上升沿到来后,触发器QDQCQBQA同时接收D、C、在 D B、A输入端的并行数据。由于数据进入计数器需要CP脉冲的作用,所以称为“同步置数”,由于4个触发器同时置入,又称为“并行”。 ③保持功能 R=1,LD=1的条件下,EP、ET两个使能端只要有一个低电平,计数器将处于数据保在 D 持状态,与CP及D、C、B、A输入无关。 ④计数功能 R=1、LD=1、EP=1、ET=1的条件下,计数器对CP端输入脉冲进行计数,计数方式在 D 为二进制加法,状态变化在QDQCQBQA=0000~1111间循环。74LS161的功能表详见表5-l 所示。 表5-1 74LS161的功能表 本实验所需计数器就是十进制计数器,必须对74LS161外加适当的反馈电路构成十进制计数器,状态变化在QDQCQBQA=0000~1001间循环。 用反馈的方法构成十进制计数器一般有两种形式,即与反馈置数法。反馈置零法就是利用R构成,即:当Q D Q C Q B Q A=1010(十进制数10)时,通过反馈线强制计数器清零,如图 清除端 D 5-2(a)所示。由于该电路会出现瞬间1010状态,会引起译码电路的误动作,因此很少被采用。反

编码译码集成电路设计

编码译码集成电路 VD5026,VD5027是CMOS大规模数字集成电路(见图1)。前者是编码器,后者是译码器。他们组合应用起来构成一个发射—接收数字编译码系统。 VD5026编码器是一种8位编码发射器。它的第1~8脚是编码的输入端,每个输入端可以有3种状态,即“0”、“1”或“开路”,其中“0”表示为低电平,“1”表示为高电平,因此8个脚可以组成38=6561个不同的编码。如果需要更多的编码,可将输入端改为4态连接方式,这时第1脚是第4种状态的公共连接脚,第2脚~第8脚与第1脚连接时为第4种状态。所以第2脚~第8脚都可以有4种状态,即“0”、“1”、“开路”、“接1脚”。在这种情况下可以组成47=16384个编码。第10脚~第13脚也可作为编码地址线,与第1~第8脚联合起来组成12位编码地址线,这时编码数可高达411=4194304个。本文要介绍的是VD5026与VD5027配合应用,VD5026的第10~ 第13脚用作数据输入线,根据需要这几个脚可以置“0”或置“1”。第14脚是发射指令端,当此脚接地时,VD5026输出端则发出一组编码脉冲。第15脚、第16脚是一个内置振荡器,外接几十到几百千欧的电阻即可产生振荡,振荡频率为fosc=1600/R(KHz),式中R为外接电阻,单位为千欧。第17脚是编码输出端,第18脚、第9脚分别是电源的正、负极。

图1 VD5027接收解码器有相应于VD5026的12位信息。第1脚~第8 脚是地址线。当VD5026发出的地址编码与VD5027预置的编码相同时,则在VD5027的第10脚~13脚有数据输出,该输出信息与VD5026的第10~第13脚所置的数据相同。第14脚为输入端,第15脚、第16脚是振荡器,外接电阻值应与VD5026完全相同。第17脚是输出端。编码器VD5026发射时,如果密码相同,VD5027就会输出高电平。VD5026、VD5027的管脚排列见图2。附表列出了他们的电气特性参数,供大家参考。 该编译码集成电路工作电压范围较宽,可以在2~6V范围内正常工作,而且耗电极小,静态电流仅有1uA。集成电路内部含有振荡电路,不用再外加晶振。它的外围电路也很简单,也容易与射频、红外线、

相关主题
文本预览
相关文档 最新文档