当前位置:文档之家› 低频三相函数信号发生器制作方案

低频三相函数信号发生器制作方案

低频三相函数信号发生器制作方案
低频三相函数信号发生器制作方案

低频三相函数信号

摘要:函数信号发生器是电子设计以及教学、科研中应用最广泛的仪器之一。如果能用相对简单的实现方式和较少的成本产生具有优秀稳定度和精确度的常用波形,无疑将会在这些领域中得到广泛的应用。本系统基于AT89S52单片机控制DDS系统产生正弦波形和矩形波,组成低频函数信号发生器。通过键盘控制达到电压预置、频率预置和占空比预置等功能,电压值、频率值和占空比都可用LCD12864显示,实现友好的人机界面。

关键字:单片机 DDS系统函数信号 LCD

一、题目理解与分析

题目要求设计并制作一台低频三相函数信号发生器,通过分析和理解,把题

二、系统方案设计

方案一:采用单片机控制

由单片机、D/A转换器及波形数据存储器等组成系统,单片机控制正弦波波形的合成、相位的改变,以及所有的逻辑和时序控制等工作。此方案除了要求单片机完成基本的处理分析以外,还需要完成信号波数据的存储、按键的处理、信号显示等控制与变换工作。其优点在于系统规模小,有较大的灵活性,但单片机内部资源和处理速度不容易满足要求。系统框图如图2.1所示。

图2.1 单片机 控制系统框图 方案二:基于IP 核技术的FPGA

由带有IP 核的FPGA 来完成采集、存储、显示及D/A 转换等功能,由IP 核实现人机交互及信号输出分析等功能。FPGA 内部可以容纳上百万个晶体管,其单片逻辑门数已达到上百万门,它所能实现的功能也越来越强,同时也可以实现系统集成。这种方案的优点在于系统高度集成、结构紧凑、操作方便;缺点是调试过程繁琐、难度大,难以在短时间内完成系统设计。系统框图如图2.2所示。

综合分析以上两种方案,并结合实际,采用方案一来完成低频三相函数发生器的制作。

三、模块方案设计

3.1 单片机的选择

方案一:采用凌阳系列单片机

凌阳系列单片机指令系统的指令格式紧凑,执行迅速,并且其指令结构提供了对高级语言的支持。低功耗,低损耗。另外,它的工作电压范围大,能在低电压供电时正常工作。采用32MHz 的外部晶振,能满足题目要求,但是操作处理比较慢且价格较贵。

方案二:采用51系列单片机

MCS-51系列单片机,具有的集成度高、处理功能强、可靠性高、系统结构简单、价格低廉等优点。采用AT89S52单片机,外接12MHz 晶振,勉强能够满足题目要求,但是它的缺点是处理速度较慢。

综合比较,考虑成本及功能采用方案二。

3.2 正弦波发生器的设计方案

方案一:采用传统的直接频率合成法直接合成

利用混频器、倍频器、分频器和带通滤波器完成对频率的算术运算。但由于采用大量的倍频、分频、混频和滤波环节,导致直接频率合成器的结构复杂,体积庞大,成本高,而且容易产生过多的杂散分量,难以达到较高的频谱精度。

方案二:采用直接数字式频率合成(简称DDS)

用随机读/写存储器

RAM 存储所需波形的量化数据,按照不同频率要求,以频率控制字K 为步进对相对增量进行累加,以累加相位值作为地址码读取存放在存储器内的波形数据,经D/A 转换和幅度控制,再滤波即可得到所需波形。由于

DDS具有相对带宽很宽,频率转换时间极短,频率分辨率高等优点,以及输出相位连续,频率、相位和幅度均可实现程控,因此可以完成题目要求。

综合比较选择方案二。另外。DDS系统板中已含有四阶巴特沃斯低通滤波电路,不需另外再设计滤波电路。

3.3 电源设计方案

本系统需要多个电源,DDS模块和单片机的工作电压为5V,DAC0832的工作电压为15V,基准电压为-5V,提供给OP37的工作电压为±15V。因此,采用三端稳压器7815、7915、7805和7905制作稳压电源,提供各器件电压。

3.4 显示模块的设计方案

方案一:采用LED数码管显示

虽然功耗低,控制简单,但是只能显示数字和一些简单的字符,没有较好地人机界面。

方案二:采用LCD液晶显示

LCD12864可以显示所有字符和自定义字符,并能同时显示多组数据、汉字,字符清晰。自身具有控制器,不仅可以减轻主单片机的负担,而且可以实现菜单驱动方式的显示效果,达到友好人机界面。用LCD液晶显示,能解决LED只能显示数字等几个简单字符的缺点,性能好,效果多,控制方便,显示方式多。

比较以上两种方案,采用方案二。

3.5 键盘设计方案

由于单片机I/O口足够,因此采用矩阵4×4键盘即可。

四、硬件电路设计

4.1 DDS的基本原理

DDS的基本原理是在高速存储器中放入正弦函数——相位数据表格,经过查表操作,将读出的数据送到高速DAC产生正弦波。可编程DDS系统原理图如图4.1.1所示。

图4.1.1 DDS的基本原理图

DDS系统由频率控制字、相位累加器、正弦查询表、数/模转换器和低通滤波器组成。参考时钟为高稳定度的晶体振荡器,其输出用于同步DDS各组成部分的工作。相位累加器是可编程DDS系统的核心,它由一个加法器和一个N位相位寄存器组成,N一般为24~32。每来一个外部参考时钟,相位寄存器便以步长M 递加。相位寄存器的输出与相位控制字相加后可输入到正弦查询表地址上。正弦查询表包含一个正弦波周期的数字幅度信息,每一个地址对应正弦波中0°~360°范围的一个相位点。查询表把输入地址的相位信息映射成正弦波幅度信号,

然后驱动DAC以输出模式量。

系统采用专用美国AD公司推出的高集成度频率合成器AD9850。AD9850内含可编程DDS系统和高速比较器,能实现全数字编程控制的频率合成。据图4.1.1,相位寄存器每过2N/M个外部参考时钟后返回到初始状态一次,相位地正弦查询表每消费品一个循环也回到初始位置,从而使整个DDS系统输出一个正弦波。

输出的正弦波周期 To=Tc2N /M

频率 fout=Mfc/2N

Tc、fc分别为外部参考时钟的周期和频率。

AD9850接上精密时钟源和写入频率相位控制字之间后就可产生一个频率和相位都可编程控制的模拟正弦波输出,此正弦波可直接用作频率信号源或经内部的高速比较器转换为方波输出。在125MHz的时钟下,32位的频率控制字可使AD9850的输出频率分辨率达0.0291Hz;并具有5位相位控制位,而且允许相位按增量180°、90°、45°、22.5°、11.25°或这些值的组合进行调整。它的外围电路连接如图4.1.2所示。

4.2 移位和调幅电路设计

DDS系统输出的正弦波并不是标准的正弦波函数,它的幅值都大与零,因此要计算输出电压的有效值,需要先将波形整体向下移位,波形移位原理如图4.2.1所示。

图4.2.1 移位(左)和调幅(右)电路

其核心部分是一电压跟随器,电压跟随器的输出

Vo1=(Vin-Vp)

所以输出的Vo1就相当于在输入Vin的基础上下移了Vp,而Vp又受电位器Rw1的控制,理论上可将Vin的波形向Y轴负方向下移0~12V。为了满足外接10KΩ输出电压峰峰值不小于20V,而波形移位后输出电压最大值为5V,因此需再连一电压放大电路。其核心是OP37,由虚短虚段

Vout=-Vin*Rw2/R3

Rw2/R3的范围是0~10,因此通过调节Rw2可以使输出波形的峰峰值在0~10Vo1间变化,即输出信号的峰峰值范围为0-50V,足以满足题目20V的要求。

4.3 移相电路设计

移相电路采用模拟方法实现,根据RC电路的相频响应,在RC低通电路中对于实际频率,上限转折频率

fH=1/(2πRC)

相角ψH=-arctan(f/fH)

实际输入信号频率为f:

1)当f<0.1fH时,ψH→0°,相频响应的相角为零度,幅频响应可以忽略。

2)当f

3)当fH

采用1nf的电容和100K的电位器组成上限截止频率可变的低通RC电路作为正向输入端,另用100Ω的电阻作为保护电阻。

电位器阻值最小时可以得到最大上限截止频率为fH=1/(2πRC)=1.6MHz,即实际输入信号需小于此范围内才能达到180度相位可调。当实际输入信号大于16MHz时相位差固定为180度。

电位器阻值最大时可以得到最小上限截止频率为fH=1/(2πRC)=1.6KHz,即实际输入信号需大于160Hz(=0.1fH)才能出现相位可调。信号频率小于16KHz (=10fH)时不能达到180度范围可调。

图4.3.1 移相电路图

4.4 方波占空比调节电路设计

方波获取原理:由输出正弦波经过一由OP07组成的单门限电压比较器产生方波信号,OP07的门限电压Vref由单片机直接程控,通过DAC0832数/模转换器将数字量转换成模拟电压量。输出模拟量正比于输入数字量(D N-1*2N-1+……+D0*20),从而实现从数字量到模拟量的转换。输入可有28(=256)个不同的二进制组态,输出为256个电压之一作为其门限电压。输入电压

Vin > Vref

则通过比较器,输出电压Vout=1;输入电压

Vin < Vref

则通过比较器,输出电压Vout=0。另外,由于DAC0832转换出的是电流,所以需后接一运放OP07进一步将电流量转换成电压量。电路设计如图4.4.1所示。

图4.4.1 DAC0832模块电路

4.5 键盘/显示电路设计

键盘采用矩阵4×4键盘,键盘和LCD12864与单片机接口电路如图4.4.1所示。另外采用另一块AT89S52单片机和LCD1602组成一个频率计对频率进行显示,方波脉冲从单片机P3.2口输入。电路如图4.4.2所示。

五、程序设计

本系统的所有程序均采用C 语言编写。程序各部分分别做成模块,主程序做成不同的界面,各模块按主程序调用子程序的方式执行。软件的关键在于如何控制AT9850的工作方式和控制字,以及如何控制DAC0832改变波形的幅值,从而达到数控效果。

软件实现的功能有:(1)输出一路正弦波信号;(2)步进控制;(3)频率设置;(4)输出一路方波信号;(5)方波占空比预置。

整个系统软件由主程序和多个功能子程序构成。软件基本按照主程序调用子程序的方法执行。系统程序流程图如图5.1.1所示。

图5.1.1 系统程序流程图

六、系统测试

6.1 测试仪器

6.2 系统测试

测试说明:按键10:退步/频率+;

按键11:清零/频率-;

按键12:确定/重置;

按键

13:返回/返回。

系统测试主要用示波器测试预置频率,频率步进,接10K Ω负载电压峰峰值

的准确性与稳定性。正弦波测量结果如表6.2.1所示。

这里不再测量。用示波器测试结果如表6.2.2所示。

表6.2.2 方波占空比测量结果

七、总结

通过将近一周的设计与制作,基本完成以下功能:(1)能够完成一路正弦波,频率在20Hz-20KHz范围内,用示波器观察无明显失真;(2)能够实现频率设置和频率步进,步进10Hz;(3)能够显示正弦波的电压有效值和频率;(4)在外接10KΩ负载下,输出电压幅度达到20.2V,满足题目要求;(5)能够输出方波信号,可以预置频率;(6)能够预置占空比;(7)将正弦波一相扩展到三相,并且能够调整相位差。另外还有题目要求功能没有实现:输出载波频率约为10kHz 的调频信号输出。这个题目完成得不够完整,主要原因是知识储备不够,而且在短时间内也不能完成这方面知识的学习运用,但是我们会坚持学习,努力完成。

八、参考文献

【1】康华光. 电子技术基础数字部分. 高等教育出版社,2009

【2】赵亮,侯国锐. 单片机C语言编程与实例,北京.人民邮电出版社.2003 【3】康华光. 电子技术基础(模拟部分)(第五版). 高等教育出版社,2009【4】黄智伟.全国大学生电子设计竞赛系统设计.北京航空航天大学出版社,2006

附件:

附件一:部分电路图1、图4.1.2 AD9850的外围电路连接图。

2、图4.4.1 键盘/LCD与单片机的连接电路。

3.图

4.4.2 频率计电路图

4、系统图。

附件二:元器件清单

低频函数信号发生器的设计

低频信号发生器的方案 概述:采用A T89C51单片机和DAC0832芯片,直接连接键盘和显示。该种方案主要对A T89C51单片机的各个I/O口充分利用. P1口是连接键盘以及接显示电路,P2口连接DAC0832输出波形.这样总体来说,能对单片机各个接口都利用上,而不在多用其它芯片,从而减小了系统的成本.也对按照系统便携式低频信号发生器的要求所完成.占用空间小,使用芯片少,低功耗。 模块结构划分 本次设计所研究的就是对所需要的某种波形输出对应的数字信号,在通过D/A转换器和单片机部分的转换输出一组连续变化的0~5V的电压脉冲值。在设计时分块来做,按波形设定、D/A转换、51单片机连接、键盘控制四个模块的设计。最后通过联调仿真,完成相应功能。 具体设计模块如图 模块介绍: 1.波形设定:对任意波形的手动设定 2.D/A转换:主要选用DAC0832来把数字信号转换为模拟信号,

在送入单片机进行处理。 3.单片机部分:最小系统 4.键盘:用按键来控制输出波形的种类和数值的输入 硬件电路的设计 基本原理 低频信号发生器系统主要由CPU 、D/A 转换电路、电流 / 电压转换电路、按键和显示电路、电源等电路组成。其工作原理为当按下第一个按键就会分别出现方波、三角波、正弦波。 D/A 转换电路的设计 DAC0832是CMOS 工艺制造的8位D/A 转换器,属于8位电流输出型D/A 转换器,转换时间为1us ,片内带输入数字锁存器。DAC0832

与单片机接成数据直接写入方式,当单片机把一个数据写入DAC寄存器时,DAC0832的输出模拟电压信号随之对应变化。利用D/A转换器可以产生各种波形,如方波、三角波、正弦波、锯齿波等以及它们组合产生的复合波形和不规则波形。 1.DAC0832主要性能: ◆输入的数字量为8位; ◆采用CMOS工艺,所有引脚的逻辑电平与TTL兼容; ◆数据输入可以采用双缓冲、单缓冲和直通方式; ◆转换时间:1us; ◆精度:1LSB; ◆分辨率:8位; ◆单一电源:5—15V,功耗20mw; ◆参考电压:-10—+10V; DAC0832内部结构资料:芯片内有两级输入寄存器,使DAC0832具备双缓冲、单缓冲和直通三种输入方式,以便适于各种电路的需要(如要求多路D/A异步输入、同步转换等)。D/A转换结果采用电流形式输出。要是需要相应的模拟信号,可通过一个高输入阻抗的线性运算放大器实现这个供功能。运放的反馈电阻可通过RFB端引用片内固有电阻,还可以外接。 该片逻辑输入满足TTL电压电平范围,可直接与TTL电路或微机电路相接,下面是芯片电路原理图3-20

信号发生器的设计方案综述【文献综述】

文献综述 电子信息工程 信号发生器的设计方案综述 摘要:本文首先介绍了信号发生器的背景与应用,然后提出了基于直接数字频率合成(DDS)技术的信号发生器实现,概述了DDS的概念及基本结构,介绍了基于FPGA、单片机及专用芯片的信号发生器实现方案,最后对这些方案给出笔者的评价。 关键词:DSP BUILDER;数字移相信号发生器;DDS 1引言 在当今社会,信号发生器作为电子领域中的最基本、最普通、最广泛的仪器之一,是工科类电子工程师进行信号仿真实验的最佳工具。而信号发生器是指能产生测试信号的仪器,它主要用于产生被测电路所需特定参数的电测试信号。 本文设计的数字移相信号发生器通过移相技术在数控、数字信号处理机、工业控翻、自动控制等各个领域得以应用[1]。 2 DDS概述 直接数字频率合成DDS(Direct Digital Frequency Synthesizer)是一种采用数字化技术、通过控制相位的变化速度、直接产生各种不同频率信号的新型频率合成技术,标志着第三代频率合成技术的出现。它是把一系列数字量形式的信号通过数模转换器(DAC)转换成模拟量形式的信号[2]。目前使用的最广的一种DDS方式是利用高速存储器作查找表。然后通过高速DAC输出已经用数字形式存入的正弦波。具有频率切换时间短,频率分辨率高,频率稳定度高。输出信号的频率和相位可快速程控交换、输出相位连续、容易实现频率、相位和幅度的数控调制等优点[3]。 图1 DDS基本结构 DDS是以数控的方式产生频率、相位和幅度可以控制的正弦波,如图1所示为基本DDS结构,由

相位累加器、相位调制器、正弦ROM查找表、D/A构成[4]。相位累加器是整个DDS的核心,它由一个累加器和一个N位相位寄存器组成,每来一个时钟脉冲,相位寄存器以相位步长M增加,相位寄存器的输出与相位控制字相加,完成相位累加运算,其结果作为正弦查找表的地址,正弦ROM查找表内部存有一个完整周期正弦波数字幅度信息,每个查找表地址对应正弦波中o。~360。范围的一个相位点,查找表把输入的地址信息映射成正弦波幅度信号,通过D/A输出,经低通滤波器后,即可得一纯净的正弦波。 而所谓的移相,就是指两路同频的信号,以其中的一路为参考,另一路相对于该参考作超前或滞后的移动,即称为相位的移动。两路信号的相位不同,便存在相位差,简称相差[5]。两路信号的相位差用相位字来控制,只要相位字不同,就可得到两路不同相位的移相信号。 3 基于DDS的数字移相系统设计 3.1基于FPGA的实现 传统使用FPGA的数字信号处理系统的设计,首先需要用仿真软件进行建模仿真,得到预想中的仿真结果后。再根据仿真过程和结果,使用硬件描述语言创建硬件工程,最后完成硬件仿真。整个过程漫长而繁杂,尤其困难的是仿真过程不够直观.一旦遇到问题无法及时准确地确定问题所在。而DSP Builder作为一个面向DSP开发的系统级(或算法级)设计工具,它架构在多个软件工具之上,并把系统级和RTL 级两个设计领域的设计工具连接起来,最大程度地发挥了两种工具的优势[5]。DSP Builder依赖于MathWorks 公司的数学分析工具Matlab/ Simulink ,DSP Builder允许设计者在Matlab 中完成算法设计,在Simulink 软件中完成系统集成,通过SignalCompiler模块生成Q uart usII 软件中可以使用的硬件描述语言(V HDL) 文件,它提供了QuartusII软件和MA TLAB/ Simulink工具之间的接口,通过DSP Builder 、SOPC Builder 、Quart usII 软件构筑的一套从系统算法分析到FPGA 芯片实现的完整设计平台[6]。 3.2基于单片机的实现 基于单片机的信号发生器其核心内容是单片机的主程序,主程序对整个设计起着总控作用[7]。设计方案如图2所示.系统在程序控制下,先读取P3口决定波形信号类别,然后由Po口输出数据,经D/A转换后放大、滤波输出.波形频率在线调整是通过读取P2口上的拨码开关的编码,并根据该编码产生的数字量,在PO口输出一个数据后立即产生一个对应时长的延时时间来实现.幅度调整是通过接在DAC上的滑动变阻器来改变D/A转换的参考电压来实现[8]。

函数信号发生器设计方案

函数信号发生器的设 计与制作 目录 一.设计任务概述 二.方案论证与比较 三.系统工作原理与分析 四.函数信号发生器各组成部分的工作原理 五.元器件清单 六.总结 七.参考文献

函数信号发生器的设计与制 一.设计任务概述 (1)该发生器能自动产生正弦波、三角波、方波。 (2)函数发生器以集成运放和晶体管为核心进行设计 (3)指标: 输出波形:正弦波、三角波、方波 频率范围:1Hz~10Hz,10Hz~100Hz 输出电压:方波VP-P≤24V,三角波VP-P=8V,正弦波VP-P>1V; 二、方案论证与比较 2.1·系统功能分析 本设计的核心问题是信号的控制问题,其中包括信号频率、信号种类以及信号强度的控制。在设计的过程中,我们综合考虑了以下三种实现方案: 2.2·方案论证 方案一∶采用传统的直接频率合成器。这种方法能实现快速频率变换,具有低相位噪声以及所有方法中最高的工作频率。但由于采用大量的倍频、分频、混频和滤波环节,导致直接频率合成器的结构复杂、体积庞大、成本高,而且容易产生过多的杂散分量,难以达到较高的频谱纯度。 方案二∶采用锁相环式频率合成器。利用锁相环,将压控振荡器(VCO)的输出频率锁定在所需要频率上。这种频率合成器具有很好的窄带跟踪特性,可以很好地选择所需要频率信号,抑制杂散分量,并且避免了量的滤波器,有利于集成化和小型化。但由于锁相环本身是一个惰性环节,锁定时间较长,故频率转换时间较长。而且,由模拟方法合成的正弦波的参数,如幅度、频率相信都很难控制。 方案三:采用8038单片压控函数发生器,8038可同时产生正弦波、方波和三角波。改变8038的调制电压,可以实现数控调节,其振荡范围为0.001Hz~300K 方案四:采用分立元件设计出能够产生3种常用实验波形的信号发生器,并确定了各元件的参数,通过调整和模拟输出,该电路可产生频率低于1-10Hz的3种信号输出,具有原理简单、结构清晰、费用低廉的优点。该电路已经用于实际电路的实验操作。 三、系统工作原理与分析 采用由集成运算放大器与场效应管共同组成的方波—三角波—正弦波函数发生器的设计方法,先通过比较器产生方波,再通过积分器产生三角波,最后通过场效应管正弦波转换电路形成正弦波,波形转换原理图如下:

低频函数信号发生器设计实验报告 精品

实验报告 课程名称:电子系统综合设计指导老师:周箭成绩:实验名称:低频函数信号发生器(预习报告)实验类型:同组学生姓名: 一、课题名称 低频函数信号发生器设计 二、性能指标 (1)同时输出三种波形:方波,三角波,正弦波; (2)频率范围:10Hz~10KHz; (3)频率稳定性:; (4)频率控制方式: ①改变RC时间常数; ②改变控制电压V 1实现压控频率,常用于自控方式,即F=f(V 1 ),(V 1 =1~10V); ③分为10Hz~100Hz,100Hz~1KHz,1KHz~10KHz三段控制。 (5)波形精度:方波上升下降沿均小于2μs,三角波线性度δ/V om <1%,正弦波失真度

; (6)输出方式: a)做电压源输出时 输出电压幅度连续可调,最大输出电压不小于20V 负载R L =100Ω~1KΩ时,输出电压相对变化率ΔV O /V O <1% b)做电流源输出时 输出电流幅度连续可调,最大输出电流不小于200mA 负载R L =0Ω~90Ω时,输出电流相对变化率ΔI O /I O <1% c)做功率源输出时 最大输出功率大于1W(R L =50Ω,V O >7V有效值) 具有输出过载保护功能 三、方案设计 根据实验任务的要求,对信号产生部分,一般可采用多种实现方案:如模拟电路实现方案、数字电路实现方案、模数结合的实现方案等。 数字电路的实现方案 一般可事先在存储器里存储好函数信号波形,再用D/A转换器进行逐点恢复。这种方案的波形精度主要取决于函数信号波形的存储点数、D/A转换器的转换速度、以及整个电路的时序处理等。其信号频率的高低,是通过改变D/A转换器输入数字量的速率来实现的。 数字电路的实现方案在信号频率较低时,具有较好的波形质量。随着信号频率的提高,需要提高数字量输入的速率,或减少波形点数。波形点数的减少,将直接影响函数信号波形的质量,而数字量输入速率的提高也是有限的。因此,该方案比较适合低频信号,而较难产生高频(如>1MHz)

基于AD9850的信号发生器设计_毕业设计

基于AD9850的信号发生器设计 摘要 介绍ADI 公司出品的AD9850 芯片,给出芯片的引脚图和功能。并以单片机 AT89S52 为控制核心设计了一个串行控制方式的正弦信号发生器的可行性方案,给出了单片机AT89S52 与AD9850 连接电路图和调试通过的源程序以供参考。直接数字合成(DDS)是一种重要的频率合成技术,具有分辨率高、频率变换快等优点,在雷达及通讯等领域有着广泛的应用前景。系统采用AD9850为频率合成器,以单片机为进程控制和任务调度的核心,设计了一个信号发生器。实现了输出频率在10Hz~1MHz范围可调,输出信号频率稳定度优于10-3的正弦波、方波和三角波信号。正弦波信号的电压峰峰值V opp能在0~5V范围内步进调节,步进间隔达0.1v,所有输出信号无明显失真,且带负载能力强。该电路设计方案正确可行,频率容易控制,操作简单灵活,且具有广阔的应用前景。 关键词:信号发生器;直接数字频率合成;AD9850芯片;AT89S52单片机

Abstract On the basis of direct digital synthesis(DDS)principle, a signal generator was designed , using AT89S52 single chip machine as control device and adopting AD9850 type DDS device .Hardware design parameters were given .The system can output sine wave ,square wave with wide frequency stability and good waveform .The signal generator has stronger market competitiveness , with wide development prospect ,in frequency modulation technology and radio communication technology fields. Key words: signal generator ;direct digital synthsis;AD9850;AT89S52

什么是函数信号发生器,函数信号发生器的作用,函数信号发生器的工作原理

什么是函数信号发生器,函数信号发生器的作用,函数信号发生器的工作原 理 什么是函数信号发生器?函数信号发生器是一种能提供各种频率、波形和输出电平电信号的设备。在测量各种电信系统或电信设备的振幅特性、频率特性、传输特性及其它电参数时,以及测量元器件的特性与参数时,用作测试的信号源或激励源。 函数信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。 函数信号发生器的工作原理:函数信号发生器是一种能提供各种频率、波形和输出电平电信号的设备。在测量各种电信系统或电信设备的振幅特性、频率特性、传输特性及其它电参数时,以及测量元器件的特性与参数时,用作测试的信号源或激励源。它能够产生多种波形,如三角波、锯齿波、矩形波、正弦波,所以在生产实践和科技领域中有着广泛的应用。 函数信号发生器系统主要由主振级、主振输出调节电位器、电压放大器、输出衰减器、功率放大器、阻抗变换器和指示电压表构成。当输入端输入小信号正弦波时,该信号分两路传输,一路完成整流倍压功能,提供工作电源;另一路进入一个反相器的输入端,完成信号放大功能。该放大信号经后级的门电路处理,变换成方波后经输出,输出端为可调电阻。 函数信号发生器产生的各种波形曲线均可以用三角函数方程式来表示,函数信号发生器在电路实验和设备检测中具有十分广泛的用途。例如在通信、广播、电视系统中,都需要射频发射,这里的射频波就是载波,把音频、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振成像等,都需要功率或大或小、频率或高或低的振荡器。

函数信号发生器的设计与制作

函数信号发生器的设计、和装配实习 一.设计制作要求: 掌握方波一三角波一正弦波函数发生器的设计方法和测试技术。学会由分立器件和集成电路组成的多级电子电路小系统的布线方法。掌握安装、焊接和调试电路的技能。掌握在装配过程中可能发生的故障进行维修的基本方法。 二.方波一三角波一正弦波函数发生器设计要求 函数发生器能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形。其电路中使用的器件可以是分立器件,也可以是集成电路(如单片集成电路函数发生器ICL8038)。本次电子工艺实习,主要介绍由集成运算放大器和晶体管差分放大器组成的方波一三角波一正弦波函数信号发生器的设计和制作方法。 产生正弦波、方波、三角波的方案有多 种: 1:如先产生正弦波,然后通过整 形电路将正弦波变换成方波,再由积分 电路将方波变成三角波。 2:先产生三角波一方波,再将三 角波变成正弦波或将方波变成正弦波。 3 3:本次电路设计,则采用的图1函数发生器组成框图 是先产生方波一三角波,再将三角波变换成正弦波的电路设计方法。此钟方法的电路组成框图。如图1所示:可见,它主要由:电压比较器、积分器和差分放大器等三部分构成。 为了使大家能较快地进入设计和制做状态,节省时间,在此,重新复习电压比较器、积分器和差分放大器的基本构成和工作原理: ,并判所谓比较器,是一种用来比较输入信号v1和参考电压V REF 断出其中哪个大,在输出端显示出比较结果的电路。 在《电子技术基础》一书的9.4—非正弦波信号产生电路的9.4.1中,专门讲述了: A:单门限电压比较器、B:过零比较器 C:迟滞比较器的电路结构和工作原理。 一、单门限电压比较器 所谓单门限电压比较器,是指比较器的输入端只有一个门限电压。

基于51单片机的信号发生器设计报告

基于51单片机的信号发生器设计报告 二零一四年十二月十一日

摘要 根据题目要求以及结合实际情况,本文采用一种以AT89C51单片机为核心所构成的波形发生器,可产生方波、三角波、正弦波、锯齿波等多种波形,波形的频率可用程序改变,并可根据需要选择单极性输出或双极性输出,具有线路简单、结构紧凑、性能优越等特点。本设计经过测试,性能和各项指标基本满足题目要求。 关键词:信号发生器 DAC0832芯片 LM358运放 89C51芯片

目录 摘要...................................................................... 目录...................................................................... 第一章绪论................................................................. 1.1单片机概述........................................................... 1.2信号发生器的概述和分类.............................................. 1.3问题重述及要求....................................................... 第二章方案的设计与选择................................................... 2.1方案的比较........................................................... 2.2设计原理 ............................................................. 2.3设计思想 ............................................................. 2.4实际功能 ............................................................. 第三章硬件设计............................................................ 3.1硬件原理框图......................................................... 3.2主控电路 ............................................................. 3.3数、模转换电路....................................................... 3.4按键接口电路......................................................... 3.5时钟电路 ............................................................. 3.6显示电路 ............................................................. 第四章软件设计............................................................ 4.1程序流程图........................................................... 参考文献.................................................................... 附录1 电路原理图 .......................................................... 附录2 源程序............................................................... 附录3 器件清单......................................................

函数信号发生器

函数信号发生器 函数信号发生器 作者:华伟锋卞蕊樊旭超 2013-8-8

函数信号发生器 摘要 直接数字频率合成(DDS)是一种重要的频率合成技术,具有分辨率高、频率变换快等优点,在雷达及通信等领域有着广泛的应用前景。本文介绍了DDS(直接数字频率合成)的基本原理和工作特点,提出以DDS芯片AD9850芯片为核心利用MSP430F5438单片机控制,辅以必要的外围电路,构成一个输出波形稳定、精度较高的信号发生器。该信号发生器主要能产生标准的正弦波、方波与三角波(锯齿波),波形可手动切换,频率步进可调,软件系统采用菜单形式进行操作,LCD液晶显示可实时显示输出信号的类型、幅度、频率和频率步进值,操作方便明了,还增加了很多功能。 关键词:AD9850;信号发生器;MSP430F149单片机;DDS;LCD液晶; Abstact:Direct Digital Synthesis (DDS) is an important frequency synthesizer technology, with high resolution, fast frequency conversion, etc., in radar and communications and other fields have a wide range of applications. This article describes the DDS (direct digital frequency synthesis) of the basic principles and work, we proposed to DDS chip AD9850 chip as the core using MSP430F5438 MCU control, supplemented by the necessary peripheral circuits to form a stable output waveform, high precision signal generator . The signal generator can generate standard primary sine wave, square wave and triangular wave (sawtooth), the waveform can be manually switched, frequency step adjustable software system used to operate the menu form, LCD liquid crystal display can be real-time display of the output signal type , amplitude, frequency and frequency step value, easy to understand, but also adds a lot of functionality. Key words:AD9850; signal generator; MSP430F5438MCU; DDS; LCD liquid crystal;

函数信号发生器设计报告

函数信号发生器设计报告 目录 一、设计要求 .......................................................................................... - 2 - 二、设计的作用、目的 .......................................................................... - 2 - 三、性能指标 .......................................................................................... - 2 - 四、设计方案的选择及论证 .................................................................. - 3 - 五、函数发生器的具体方案 .................................................................. - 4 - 1. 总的原理框图及总方案 ................................................................. - 4 - 2.各组成部分的工作原理 ................................................................... - 5 - 2.1 方波发生电路 .......................................................................... - 5 - 2.2三角波发生电路 .................................................................... - 6 - 2.3正弦波发生电路 .................................................................. - 7 - 2.4方波---三角波转换电路的工作原理 ................................ - 10 - 2.5三角波—正弦波转换电路工作原理 .................................. - 13 - 3. 总电路图 ....................................................................................... - 15 - 六、实验结果分析 ................................................................................ - 16 - 七、实验总结 ........................................................................................ - 17 - 八、参考资料 ........................................................................................ - 18 - 九、附录:元器件列表 ........................................................................ - 19 -

信号发生器的设计实现

电子电路综合设计 总结报告 设计选题 ——信号发生器的设计实现 姓名:*** 学号:*** 班级:*** 指导老师:*** 2012

摘要 本综合实验利用555芯片、CD4518、MF10和LM324等集成电路来产生各种信号的数据,利用555芯片与电阻、电容组成无稳态多谐振荡电路,其产生脉冲信号由CD4518做分频实现方波信号,再经低通滤波成为正弦信号,再有积分电路变为锯齿波。此所形成的信号发生器,信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。在此过程中,综合的运用多科学相关知识进行了初步工程设计。

设计选题: 信号发生器的设计实现 设计任务要求: 信号发生器形成的信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T 或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。 正文 方案设计与论证 做本设计时考虑了三种设计方案,具体如下: 方案一 实现首先由单片机通过I/O输出波形的数字信号,之后DA变换器接受数字信号后将其变换为模拟信号,再由运算放大器将DA输出的信号进行放大。利用单片机的I/O接收按键信号,实现波形变换、频率转换功能。

基本设计原理框图(图1) 时钟电路 系统的时钟采用内部时钟产生的方式。单片机内部有一个用于构成振荡器的高增益反相放大器,该高增益反相放大器的输入端为芯片引脚XTAL1,输出端为引脚XTAL2。这两个引脚跨接石英晶体振荡器和微调电容,就构成一个稳定的自激振荡器。晶振频率为11.0592MHz,两个配合晶振的电容为33pF。 复位电路 复位电路通常采用上电自动复位的方式。上电自动复位是通过外部复位电路的电容充电来实现的。 程序下载电路 STC89C51系列单片机支持ISP程序下载,为此,需要为系统设计ISP下载电路。系统采用MAX232来实现单片机的I/O口电平与RS232接口电平之间的转换,从而使系统与计算机串行接口直接通信,实现程序下载。 方案一的特点: 方案一实现系统既涉及到单片机及DA、运放的硬件系统设计,

如何使用函数信号发生器

如何使用函数信号发生器 认识函数信号发生器 信号发生器一般区分为函数信号发生器及任意波形发生器,而函数波形发生器在设计上又区分出模拟及数字合成式。众所周知,数字合成式函数信号源无论就频率、幅度乃至信号的信噪比(S/N)均优于模拟,其锁相环( PLL)的设计让输出信号不仅是频率精准,而且相位抖动(phase Jitter)及频率漂移均能达到相当稳定的状态,但毕竟是数字式信号源,数字电路与模拟电路之间的干扰,始终难以有效克服,也造成在小信号的输出上不如模拟式的函数信号发. 这是通用模拟式函数信号发生器的结构,是以三角波产生电路为基础经二极管所构成的正弦波整型电路产生正弦波,同时经由比较器的比较产生方波,换句话说,如果以恒流源对电容充电,即可产生正斜率的斜波。同理,右以恒流源将储存在电容上的电荷放电即产生负斜率的斜波,电路结构如下: 当I1 =I2时,即可产生对称的三角波,如果I1 > >I2,此时即产生负斜率的锯齿波,同理I1 < < I2即产生正斜率锯齿波。 再如图二所示,开关SW1的选择即可让充电速度呈倍数改变,也就是改变信号的频率,这也就是信号源面板上频率档的选择开关。同样的同步地改变I1及I2,也可以改变频率,这也就是信号源上调整频率的电位器,只不过需要简单地将原本是电压信号转成电流而已。 而在占空比调整上的设计有下列两种思路: 改变电平的幅度,亦即改变方波产生电路比较器的参考幅度,即可达到改变脉宽而频率不变的特性,但其最主要的缺点是占空比一般无法调到20%以下,导致在采样电路实验时,对瞬时信号所采集出来的信号有所变动,如果要将此信号用来作模数(A/D)转换,那么得到的数字信号就发生变动而无所适从。但不容否认的在使用上比较好调。 2、占空比变,频率跟着改变,其方法如下: 将方波产生电路比较器的参考幅度予以固定(正、负可利用电路予以切换),改变充放电斜率,即可达成。 这种方式的设计一般使用者的反应是“难调”,这是大缺点,但它可以产生10%以下的占空比却是在采样时的必备条件。 以上的两种占空比调整电路设计思路,各有优缺点,当然连带的也影响到是否能产生“像样的”锯齿波。 接下来PA(功率放大器)的设计。首先是利用运算放大器(OP) ,再利用推拉式(push-pull)放大器(注意交越失真Cross-distortion的预防)将信号送到衰减网路,这部分牵涉到信号源输出信号的指标,包含信噪比、方波上升时间及信号源的频率响应,好的信号源当然是正弦波信噪比高、方波上升时间快、三角波线性度要好、同时伏频特性也要好,(也即频率上升,信号不能衰减或不能减太大),这部分电路较为复杂,尤其在高频时除利用电容作频率补偿外,也牵涉到PC板的布线方式,一不小心,极易引起振荡,想设计这部分电路,除原有的模拟理论基础外尚需具备实际的经验,“Try Error”的耐心是不可缺少的。 PA信号出来后,经过π型的电阻式衰减网路,分别衰减10倍(20dB)或100倍(40dB),此时一部基本的函数波形发生器即已完成。(注意:选用π型衰减网络而不是分压电路是要让输出阻抗保持一定)。 一台功能较强的函数波形发生器,还有扫频、VCG、TTL、 TRIG、 GATE及频率计等功能,其设

函数信号发生器的设计与实现

实验1 函数信号发生器的设计与实现 姓名:_ _____ 学号: 班内序号:____ 课题名称:函数信号发生器的设计 摘要:采用运算放大器组成的积分电路产生比较理想的方波-三角波,根 据所需振荡频率和对方波前后沿陡度、方波和三角波幅度的要求,选择运放、稳压管、限流电阻和电容。三角波-正弦波转换电路利用差分放大器传输特性曲线的非线性实现,选取合适的滑动变阻器来调节三角波的幅度和电路的对称性,同时利用隔直电容、滤波电容来改善输出正弦波的波形。 关键词:方波三角波正弦波 一、设计任务要求 1.基本要求:

设计制作一个函数信号发生器电路,该电路能够输出频率可调的正弦波、三角波和方波信号。 (1) 输出频率能在1-10KHz范围内连续可调,无明显失真。 (2) 方波输出电压Uopp=12V(误差小于20%),上升、下降沿小于10us。 (3) 三角波Uopp=8V(误差小于20%)。 (4) 正弦波Uopp1V,无明显失真。 2.提高要求: (1) 输出方波占空比可调范围30%-70%。 (2) 自拟(三种输出波形的峰峰值Uopp均可在1V-10V内连续可调)。 二、设计思路和总体结构框图 总体结构框图: 设计思路: 由运放构成的比较器和反相积分器组成方波-三角波发生电路,三角波输入差分放大电路,利用其传输特性曲线的非线性实现三角波-正弦波的转换,从而电路可在三个输出端分别输出方波、三角波和正弦波,达到信号发生器实验的基本要求。 将输出端与地之间接入大阻值电位器,电位器的抽头处作为新的输出端,实现输出信号幅度的连续调节。利用二极管的单向导通性,将方波-三角波中间的电阻改为两个反向二极管一端相连,另一端接入电位器,抽头处输出的结构,实现占空比连续可调,达到信号发生器实验的提高要求。 三、分块电路和总体电路的设计过程 1.方波-三角波产生电路 电路图:

函数信号发生器设计报告

目录 1设计的目的及任务 1.1 课程设计的目的 1.2 课程设计的任务与要求 2函数信号发生器的总方案及原理图 2.1 电路设计原理框图 2.2 电路设计方案设计 3 各部分电路设计及选择 3.1 方波发生电路的工作原理 3.2 方波、三角波发生电路的选择 3.3三角波---正弦波转换电路的选择 3.4总电路图 4 电路仿真与调试 4.1 方波---三角波发生电路、三角波---正弦波转换电路的仿真与调试 4.2方波---三角波发生电路、三角波---正弦波转换电路的实验结果 5 PCB制版 6 设计总结 7仪器仪表明细清单 8 参考文献

1.课程设计的目的和设计的任务 1.1 设计目的 1.掌握用集成运算放大器构成正弦波、方波和三角波函数发生器的设计方法。 2.学会安装、调试与仿真由分立器件、调试与仿真由分立器件与集成电路组成的多级电子电路小系统。 2.2设计任务与要求: 设计一台波形信号发生器,具体要求如下: 1.输出波形:方波、三角波、正弦波。 2.频率范围:在1 Hz-10Hz,10 Hz -100 Hz,100 Hz -1000 Hz等三个波段。 3.频率控制方式:通过改变RC时间常数手控信号频率。 4.输出电压:方波U P-P≤24V,三角波U P-P =8V,正弦波U P-P >1V。 5.合理的设计硬件电路,说明工作原理及设计过程,画出相关的电路原理图。 6.选用常用的电器元件(说明电器元件选择过程和依据)。 7.画出设计的原理电路图,作出电路的仿真。 8.提交课程设计报告书一份,A3图纸两张,完成相应答辩。

2.函数发生器总方案及原理框图 图1-1 整体原理框图 2.2 函数发生器的总方案 函数发生器一般是指能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形的电路或仪器。产生正弦波、方波、三角波的方案有多种,如首先产生正弦波,然后通过整形电路将正弦波变换成方波,再由积分电路将方波变成三角波;也可以首先产生三角波—方波,再将三角波变成正弦波或将方波变成正弦波等等。本课题采用先产生方波—三角波,再将三角波变换成正弦波的电路设计方法。 本课题中函数发生器电路组成框图如下所示: 由比较器和积分器组成方波—三角波产生电路,比较器输出的方波经积分器得到三角波,三角波到正弦波的变换电路的基本结构是比例放大器,对不同区段内比例系数的切换,是通过二级管网络来实现的。如输出信号的正半周内由D1~D3控制切换,负半周由D4~D6控制切换。电阻Rb1~Rb3与Ra1~Ra3分别组成分压器,控制着各二极管的动作电平。

函数信号发生器

课程设计(论文) 课程名称:模拟电子技术基础课程设计 题目名称:函数信号发生器 姓名: 学号 班级: 专业:电子信息科学与技术 设计时间:2011-2012-1学期15、16周 教师评分: 2011 年 12 月11 日

目录 1设计的目的及任务 (1) 1.1 课程设计的目的 (3) 1.2 课程设计的任务与要求 (3) 2 电路设计总方案及各部分电路工作原理 (3) 2.1 电路设计总体方案............................................................( 3)2.2 正弦波发生电路的工作原理 (3) 2.3 正弦波---方波工作原理 (4) 2.4 方波---三角波工作原理 (5) 2.5 三角波---正弦波工作原理 (7) 3 电路仿真及结果 (8) 3.1 仿真电路图及参数选择 (8) 3.2 仿真结果及分析 (9) 4收获与体会 (13) 5 仪器仪表明细清单 (13) 6 参考文献 (14)

一、 设计的目的及任务 1.1 课程设计的目的: 1、 熟悉简易信号发生器的电路结构及电路原理,并掌握特定波形 的转换。 2、学习以及熟练运用multisim 工具。 1.2 课程设计的任务与要求 1、 设计一函数信号发生器,能输出特定频率(1kHz )的正弦波(两 个波形)、方波和三角波共四种波形。振幅固定,如-5V 到+5V 之间。 2、 拓展项(可选): 频率可调,锯齿波 脉冲波。 二、 电路设计总方案及各部分电路工作原理 三、 2.1 电路设计总体方案 积分电路 低通滤波

函数信号发生器的设计与制作

Xuchang Electric V ocational College 毕业论文(设计) 题目:函数信号发生器的设计与制作 系部:电气工程系_ 班级:12电气自动化技术 姓名:张广超 指导老师:郝琳 完成日期:2014/5/20

毕业论文内容摘要

目录 1引言 (3) 1.1研究背景与意义 (3) 1.2研究思路与主要内容 (3) 2 方案选择 (4) 2.1方案一 (4) 2.2方案二 (4) 3基本原理 (5) 4稳压电源 (6) 4.1直流稳压电源设计思路 (6) 4.2直流稳压电源原理 (6) 4.3集成三端稳压器 (7) 5系统工作原理与分析 (8) 5.1ICL8038芯片性能特点简介 (8) 5.2ICL8038的应用 (8) 5.3ICL8038原理简介 (8) 5.4电路分析 (9) 5.5ICL8038内部原理 (10) 5.6工作原理 (11) 5.7正弦函数信号的失真度调节 (11) 5.8ICL8038的典型应用 (12) 5.9输出驱动部分 (12) 结论 (14) 致谢 (15) 参考文献 (16) 附录 (17)

1引言 信号发生器是一种能提供各种频率、波形和输出电平电信号的设备。在测量各种电信系统或电信设备的振幅特性、频率特性、传输特性及其它电参数时,以及测量元器件的特性与参数时,用作测试的信号源或激励源。信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波(含方波)、正弦波的电路被称为函数信号发生器。 1.1研究背景与意义 函数信号发生器是工业生产、产品开发、科学研究等领域必备的工具,它产生的锯齿波和正弦波、矩形波、三角波是常用的基本测试信号。在示波器、电视机等仪器中,为了使电子按照一定规律运动,以利用荧光屏显示图像,常用到锯齿波信号产生器作为时基电路。例如,要在示波器荧光屏上不失真地观察到被测信号波形,要求在水平偏转线圈上加随时间线性变化的电压——锯齿波电压,使电子束沿水平方向匀速搜索荧光屏。对于三角波,方波同样有重要的作用,而函数信号发生器是指一般能自动产生方波正弦波三角波以及锯齿波阶梯波等电压波形的电路或仪器。因此,建议开发一种能产生方波、正弦波、三角波的函数信号发生器。函数信号发生器根据用途不同,有产生三种或多种波形的函数发生器,其电路中使用的器件可以是分离器件,也可以是集成器件,产生方波、正弦波、三角波的方案有多种,如先产生正弦波,根据周期性的非正弦波与正弦波所呈的某种确定的函数关系,再通过整形电路将正弦波转化为方波,经过积分电路后将其变为三角波。也可以先产生三角波-方波,再将三角波或方波转化为正弦波。随着电子技术的快速发展,新材料新器件层出不穷,开发新款式函数信号发生器,器件的可选择性大幅增加,例如 ICL8038就是一种技术上很成熟的可以产生正弦波、方波、三角波的主芯片。所以,可选择的方案多种多样,技术上是可行的[1]。 1.2研究思路与主要内容 本文主要以ICL8038集成块为核心器件,制作一种函数信号发生器,制作成本较低。适合学生学习电子技术实验使用。ICL8038是一种具有多种波形输出的精密振荡集成电路,只需要个别的外部元件就能产生从几赫到几百千赫的低失真正弦波、三角波、矩形波等脉冲信号。基于ICL8038函数信号发生器主要电源供电、波形发生、输出驱动三大部分组成。电源供电部分:主要由集成三端稳压管LM7812和LM7912构成的±12V直流电压作为整个系统的供电。波形发生部分:主要由单片集成函数信号发生器ICL8038构成。通过改变接入电路的电阻或电容的大小,能够得到几赫到几百千赫不同频率的信号。输出驱动部分:主要由运放LF353构成。由于ICL8038的输出信号幅度较小,需要放大输出信号。ICL8038的输出信号经过运放LF353放大后能够得到输出幅度较大的信号[2]。

相关主题
文本预览
相关文档 最新文档