当前位置:文档之家› 数字脉搏计的设计

数字脉搏计的设计

数字脉搏计的设计
数字脉搏计的设计

目录

1前言 (1)

2总体方案设计 (2)

2.1 方案比较 (4)

2.2 方案论证与选择 (5)

3单元模块设计 (6)

3.1各单元模块功能介绍及电路设计 (6)

3.1.1 放大电路 (6)

3.1.2 滤波电路 (6)

3.1.3 整形电路 (8)

3.1.4 四倍频电路 (10)

3.1.5 时间控制电路 (10)

3.1.6 与门控制电路 (11)

3.1.7 计数译码显示 (12)

3.1.8 清零电路 (13)

3.2电路参数的计算及元器件的选择 (14)

3.2.1 电路参数 (14)

3.2.2 元器件的选择 (14)

3.3特殊器件的介绍 (15)

3.3.1 74LS14 (15)

3.3.2 NE555 (17)

3.3.3 74LS160 (18)

3.3.4 74HC4511 (19)

4系统调试 (21)

5系统功能、指标参数 (22)

5.1系统能实现的功能 (22)

5.2系统指标参数测试 (22)

5.3系统功能及指标参数分析 (22)

6总结 (23)

7总结与体会 (24)

8谢辞 (25)

9参考文献 (26)

附录:电路原理图: (27)

1前言(绪论)

脉搏是临床检查和生理研究中常见的生理现象,包含了反映心脏和血管状态的重要生理信息。人体内各器官的健康状态、病变等信息将以某种方式显现在脉搏中即在脉象中。人体脉象中富含有关心脏、内外循环和神经等系统的动态信息,我们可以通过对脉搏波检测得到的脉波图含有出许多有诊断价值的信息,可以用来预测人体某些器脏结构和功能的变换趋势,如:血管几何形态和力学性质的变异会引起脉搏波波形和波速等性质的改变,而脉搏的病理生理性改变常引发各种心血管事件,脉搏生理性能的改变可以先于疾病临床症状出现,通过对脉搏的检测可以对如高血压和糖尿病等引起的血管病变进行评估。同时脉搏测量还为血压测量,血流测量及其他某些生理检测技术提供一种生理参考信号。

在医院临床监护和日常中老年保健中,脉搏是一项基本的生命指标,因而脉搏测量是最常见的生命特征的提取。近年来出现的日常监护仪器,如便携式电子血压计,可以完成脉搏的测量。但是这种便携式电子血压计利用微型气泵加压橡胶气囊,每次测量都需要一个加压和减压的过程,存在体积庞大、加减压过程会有不适、脉搏检测的精确度低等缺点。

数字脉搏计能很好解决便携式电子血压计体积庞大、加减压过程会有不适、脉搏检测的精确度低等缺点,其自身具有轻便小巧,测量方便,测量精度高。

本次设计应达到能够测量出一分钟人的脉搏数的目的

其应达到的技术要求有:

1、能测量人1分钟的脉搏数,并以数字显示出来;

2、测量范围:0-200次/min;

3、测量时间:15s

4、能对数据进行清零,以便下次测量;

5、测量误差不超过4次/min。

本次设计要达到上述要求,要保证测量时间及能对脉搏进行准确的测量和计数保证测量精度。

本次设计通过对脉搏信号进行一系列的处理后进行计数,测量一分钟的脉搏数并显示出来。

2总体方案设计

方案一:

1、信号采集:将脉搏跳动信号传感器转换为与此相对应的电脉冲信号(此处用微弱的正弦信号代替);

2、放大电路:将微弱的信号进行放大,微弱电压放大,采用高输入阻抗的非门进行放大;

3、低通滤波:滤除空气中的高频,只让低频脉冲信号通过。对脉搏信号进行采集的时候,空气中交流工频干扰最大,根据有源滤波原理将其滤除。

4、整形电路:可用两个非门组成的施密特触发器对放大后的信号进行整形;

5、定时电路:用555定时器组成多谐振荡器,达到5s 、15s 的精确计时; 6

、计数:采用计数器进行计数;

7、译码、显示读出脉搏数,并以十进制数的形式由数码管显示出来。数码管采用共阴数码管;

8、报警可用比较器比较后进行报警。

方案二:

1、以微弱正弦信号模拟采集的脉搏信号

2、用集成运算放大器对该微弱的信号进行放大,放大后进行二阶低通滤波,滤

除空气中的高频,只让低频脉冲信号通过;

3、运用集成555施密特触发器对波形进行整形;

4、四倍频电路的作用是将脉搏模拟信号的频率增加四倍,即让计数器记录的数

据为实际值的四倍。让我们在15s内就能读出1min的脉搏数。

5、时钟产生电路由555构成的单稳态触发器组成,主要是为控制脉冲进入计数

器的时间,从而控制计数的时间,完成测量。

6、通过由555构成的单稳态触发器的输出来控制与门的开启与关闭,从而控制

计数电路是否计数;

7、清零电路只要是对计数器进行清零,安一下开关就能进行清零,从而方便下

一次的测量。

方案三:

1、六进制计数器用来检测六个脉搏信号,产生五个脉冲周期的门控信号。

2、基准脉冲(时间)发生器产生周期为0.1s的基准脉冲信号。

3、门控电路控制基准脉冲信号进入8位二进制计数器。

4、8位二进制计数器对通过门控电路的基准脉冲进行计数,例如5个脉搏周期为5s,

即门打开5s的时间,让0.1s周期的基准脉冲信号进入8位二进制计数器,显然计

数值为50,反之,由它可相应求出5个脉冲周期的时间。

5、脉冲数产生电路产生定脉冲数信号,如3000个脉冲送入可预置8位计数器输入端。

6、可预置8位计数器以8位二进制计数器输出值(如50)作为预置数,对3000个脉

冲进行分频,所得的脉冲数(如得到60个脉冲信号),即心率,从而完成计数值换

成每分钟的脉搏次数。现在所得的结果即为每分钟的脉搏数。

2.1方案比较

方案一、二、三的微弱信号都以微弱的正弦信号代替,放大均可采用集成运算放大

器进行放大,滤波均采用二阶低通滤波的方法进行。

方案一、二均采用四倍频电路对信号进行加倍从而实现缩短时间的功能,而方案三

则采用8位二进制计数器,对通过门控电路的基准脉冲进行计数。

方案一只是通过定时器对计数器计数的测量,方案二、三则通过定时电路和与门的

连用从而控制计数器在规定时间内计数,并在时间到达后计数器停止工作。

方案一能对所测脉搏进行判断,并进行报警(如果心率不齐),方案二则能对计数器清零,在测晚一组数后,按下开关,能对计数器清零从而方便下一次的测量。

2.2方案论证与选择

方案一:结构简单,易于实现,但测量精度偏低,而要实现报警功能的话,还要对所测数据进行采集并与存储的数据进行进行比较,比较后进行报警,要实现数据的存储并与即时数据进行比较,电路就比较复杂,而且由于自己对数据的存储及调用比较不熟悉,所以放弃了该方案。

方案二:与方案一类似,结构简单,易于实现,测量精度也不是很高,要实现电路的控制较容易,另外还加了清零功能和灯光显示功能易于操作。

方案三:电路结构复杂,不易于实现,测量精度较高,但是成本较高。

经过对三个方案的分析比较,从简单性,且易于实现,经济性,考虑到测量精度,从而最终选择了方案二。

3单元模块设计

3.1各单元模块功能介绍及电路设计

3.1.1放大电路

把微弱的信号进行放大,使后续功能得以实现。电路如图3.1.1.1所示,将5mV的正弦信号放大为5V的正弦信号,即差模电压增益为1000。

图 3.1.1.1 放大电路

图示为用LM324设计的同相放大器,Vi为幅值为5mV的输入信号,其Vo为输出信

号。则:

倍。

,即正弦信号放大了

可得1000

1000

,

3

2

1

,

3

3≈

=

Ω

=

=

Ω

=

Vi

Vo

Av

K

R

R

M

R

3.1.2滤波电路

滤波采用二阶低通滤波(如图3.1.2.1所示)。二阶低通滤波器电压增益随频率变化曲线(如图3.1.2.2所示),在f=f

o

之后随f增加,增益急剧下降,从而达到低于f 频率通过的效果,滤掉干扰,使波形输出更好(如图3.1.2.3所示)。

图 3.1.2.1 滤波电路

图 3.1.2.2 电压增益随频率变化曲线

图 3.1.2.3 滤波后的波形

3.1.3整形电路

将幅值为5V的正弦信号整形为同一频率的幅值为5V的方波信号(如图3.1.3.3所示)。由于考虑到整个电路的排版,采用了集成555组成的施密特触发器(如图3.1.3.1所示)进行整形,其原理和NE555构成的施密特触发器(如图3.1.3.2所示)一样。

图 3.1.3.1 整形电路

图 3.1.3.2 555构成的施密特触发器

图 3.1.3.3 整形后的波形

3.1.4四倍频电路

利用四倍频电路使频率增加,从而缩短测量时间。原理图如图3.1.4.1所示,其原理为:当a点为低电平稳定时,b点为0。a=b,c=0。当a有低变高时,第一个异或输出为高。给电容充电,b点电压逐渐升高,当电压达到异或门的阈值电压2V时,c点为低。高电平时间由R1,C1的值共同确定。当a点由高到低时,b点电压不变,且电容开始放电,此时,a=b,c点点位为高,直到电容放电至电压小于2V,c点跳变为底。整个过程组成一个二倍频电路,两个二倍频电路构成一个四倍频电路。例如:输入脉搏信号用250HZ的矩形波,T=4ms来代替。前级二倍频电路的高电平应为2ms。经计算得:Tw=0.7RC=0.7×7k×0.4uF=1.96ms。为使上升沿均匀分布,二级倍频电路的高电平应小于1/2Tw。且如果电容过大,则波形可能出现丢失现象。故我们只需要分布均匀的上升沿即可。所以:Tw=0.7RC=0.7×1k×0.1uF=0.07ms。

图 3.1.4.1 四倍频电路

3.1.5时间控制电路

通过由NE555组成的单稳态触发器来控制每次测量的时间。其原理如图3.1.5.1所示,再连接一个发光二极管,通过发光二极管的亮与灭来判断是否在测量。在按下开关后,发光二极管开始亮,在15s后电路跳变会原状态,发光二极管熄灭。通过按下图3.1.5.1中的开关开启动计时电路,再经与门来控制计数器计数的时间。

图 3.1.5.1 555构成的单稳态触发器

电路参数如图 3.1.5.1所示,R1=620K,R2=10K,R6=220,C2=22Uf,C3=0.1Uf。则:Tw=1.1R1×C2=1.1×680K×22uF=15s。

3.1.6与门控制

通过与门的开启与关闭来让脉冲信号进入使计数器计数,并使NE555组成的单稳态触发器产生的时间信号来控制与门的开启与关闭,从而达到规定时间的计数。其原理图如图3.1.6.1所示。

图 3.1.6.1 与门控制电路

左边的为1为处理后的脉冲信号,2为NE555单稳态触发器过来的信号。两个信号共同控制与门的开启与关闭,从而控制计数器是否计数。

3.1.7计数译码显示

通过3片74LS160的连接组成计数单元,承担本次电路的计数功能,再通过74HC4511的连接对其所计的数进行译码,使之以十进制的数显示出来,再通过3片共阴极的数码管的连接以能够显示出所测的脉搏数。再或门的接入,从而使计数器计数计到200时,使或门关闭而使计数器停止计数(人的脉搏一般不可能超过200次每分钟),通过其原理图如图3.1.7.1所示。

图 3.1.7.1 计数译码显示电路

当有信号进入是计数器就开始计数,当信号中断是计数器停止工作,或者当计数达到200时,74LS160的13管脚出来高电平,通过下边所连的或门而使脉冲变为高电平,从而使计数器停止工作,而使数停留在那个状态而不再动。

3.1.8清零电路

清零电路比较简单,通过开关来控制,其连线与74LS160的清零端相连,在开关断开的情况下74LS160的清零端为高电平,为无效状态,计数器正常工作,而当按下开关后74LS160的清零端接地即为低电平,为有效状态,从而对计数器进行清零,使共阴极数码管显示为000。其原理图如图3.1.8.1所示。

图 3.1.8.1 清零电路3.2电路参数的计算及元器件的选择

3.2.1电路参数

电路参数已经在各单元模块的介绍是已说明了。

3.2.2元器件的选择

元器件清单如表3.2.2.1所示

元器件选择清单

表 3.2.2.1

3.3特殊器件的介绍

3.3.1 74LS14

74LS14为有施密特触发器的六反相器,共有54/7414、54/74LS14两种线路结构形式,其主要电特性的典型值如下图3.3.1.1:

图 3.3.1.1 主要电特性的典型值

引出端符号

1A-6A 输入端

1Y-6Y 输出端

逻辑图如图3.3.1.2所示:

图 3.3.1.2 74LS14逻辑图极限值:

电源电压:7V

输入电压: 54/7414 5.5V

54/74LS14 7V

工作温度: 54/7414 -55-145℃ 54/74LS14 0-70℃

存储温度:-65-150℃

功能表如图3.3.1.3所示:

图 3.3.1.3 74LS14功能表

3.3.2 NE555

555电路的内部结构如图3.3.2.1所示,定时器555是一种多功能集成电路,只要在外部接上几个电阻和电容,就可以组成施密特触发器、单稳态电路和多谐振荡器。由内部结构框图可知它由比较器、与非门G1和G2的SR锁存器和放电三极管TD组成。内含一个由三个阻值相同的电阻R组成的分压网络,产生 1/3VCC和2/3 VCC两个基准电压。在复位端加低电平信号,锁存复位可以使输出为低电平。正常工作时复位端加高电平。

TH:高电平触发端,简称高触发端,又称阈值端,标志为TH。

TR:低电平触发端,简称低触发端,标志为TR。

Vco:控制电压端。

Vo:输出端。

DISC:放电端。

REST:复位端。

图 3.3.2.1 555内部结构图

555定时器的功能表如图3.3.2.2所示

图 3.3.2.2 555功能表

3.3.3 74LS160

74LS160,十进制同步计数器(异步清除)

简要说明:160 为可预置的十进制同步计数器,共有 54/74160 和 54/74LS160 两种线路结构型式,其主要电特性的典型值如下图3.3.3.1所示:

图 3.3.3.1 主要电特性的典型值

160的清除端是异步的。当清除端/MR 为低电平时,不管时钟端 CP 状态如何,即可完成清除功能。

160的预置是同步的。当置入控制器/PE 为低电平时,在 CP 上升沿作用下,输出端Q0-Q3与数据输入端P0-P3一致。对于54/74160,当CP由低至高跳变或跳变前,如果计数控制端CEP、CET为高电平,则/PE应避免由低至高电平的跳变,而54/74LS160 无此种限制。

160的计数是同步的,靠CP同时加在四个触发器上而实现的。当CEP、CET均为高电平时,在CP上升沿作用下Q0-Q3同时变化,从而消除了异步计数器中出现的计数尖峰。对于54/74160,只有当CP为高电平时,CEP、CET才允许由高至低电平的跳变,而54/74LS160的CEP、CET跳变与CP无关。

160有超前进位功能。当计数溢出时,进位输出端(TC)输出一个高电平脉冲,其宽度为Q0的高电平部分。在不外加门电路的情况下,可级联成N位同步计数器。对于54/74LS160,在CP出现前,即使CEP、CET、/MR发生变化,电路的功能也不受影响。

其管脚图如图3.3.3.2所示:

图 3.3.3.2 74LS160管脚图

引出端符号:TC进位输出端 CEP计数控制端 Q0-Q3输出端 CET计数控制端

CP时钟输入端(上升沿有效)

MR异步清零输入端(低电平有效)

PE同步并行置入控制端(低电平有效)

3.3.4 74HC4511

74HC4511是BCD七段锁存器式译码器,译码、驱动器由译码器和输出缓冲器构成,输出电流最大值可达25mA。

灯测试端,用来检查输出端数码管的好坏。当它为高电平时,不起作用;当它为低电平时,译码输出端a—g均为高电平,如果此时有接数码管,则数码管显示“8”。

消隐端,当它为低电平时,a—g均为低电平,如有接数码管则表现为数码管熄灭。

锁定允许端,当LE为低电平时,译码功能正常;当LE为高电平时,译码器进入锁定状态,不论A—D为何值,输出端a—g状态保持不变,为LE为高电平之前那一刻的译码状态。

BCD锁存,7段译码,驱动器74HC4511的引脚图如图3.3.4.1所示:

数字脉搏计_实验报告

【设计任务与要求】 1、要求用十进制数显示被测人体脉搏每分钟跳动的次数,测量范围30~160次/min; 2、要求在短时间内(5s、15s)测出脉搏数/每分钟; 3、测量范围要求在±4次/min以内; 4、要求锁定每分钟脉搏数,将测量结果通过数码管出来,共分为显示计数过程,不显示技术过程两种方案; 5、要求采用手动清零、自动清零(自启动)两种方式。 【课程方案原理框图】 【课程方案】 1、信号发生与采集将脉搏跳动信号传感器转换为与此相对应的电脉冲信号; 2、放大电路把传感器的微弱电流放大,微弱电压放大,采用高输入阻抗的非门进行放大; 3、低通滤波滤除空气中的高频,只让低频脉冲信号通过。对脉搏信号进行采集的时候,空气中交流工频干扰最大,根据有源滤波原理将其滤除。 4、整形电路可用两个非门组成的施密特触发器对放大后的信号进行整形; 5、定时电路用555定时器组成多谐振荡器,达到5s、15s的精确计时; 6、通过计数、译码、显示读出脉搏数,并以十进制数的形式由数码管显示出来。数码管采用共阴数码管。 【单元电路设计与参数计算】 1、信号发生与采集: 通过陶瓷压电传感器对脉搏进行采样收集。 2、放大与滤波电路: 将5mV的正弦信号放大为5V的正弦信号,即差模电压增益为1000。

图示为用LM324设计的同相放大器,其输出信号,Vi 为幅值为5mV 的输入信号。则另: 倍。,即正弦信号放大了可得10001000,321,33≈= Ω==Ω=Vi Vo Av K R R M R Vi R R Vo )1 3 1(+=左图为二阶低通滤波器电压增益随频率变化曲线,在f=f o 之后随f 增加,增益急剧下降,从而达到低于f 频率通过的效果

基于单片机的心率计设计

目录 摘要 (3) 英文摘要 (3) 1 引言 (4) 1.1 心率计的研究背景和意义 (4) 1.2 心率计的研究现状及发展动态 (4) 2 方案论证及元器件选择 (5) 2.1 研究内容及设计指标 (5) 2.2 方案设计与论证 (5) 2.2.1 传感器的选择与论证 (5) 2.2.2 信号处理方案选择和论证 (7) 2.2.3 单片机系统选择和论证 (8) 2.2.4 显示模块选择和论证 (9) 2.3元器件选择及其功能介绍 (9) 2.3.1单片机AT89S52 (9) 2.3.2红外传感器 (11) 2.3.3双运算放大器LM358N (11) 2.3.4 LCD12864 (12) 3 硬件系统设计 (13) 3.1 系统设计框图 (13) 3.2 信号采集电路 (14) 3.3 信号放大电路 (15) 3.3.1一级信号放大电路 (15) 3.3.2 电源模块设计 (16) 3.4 信号比较电路 (17) 3.5 LCD显示电路 (18) 3.6 记忆电路 (18) 3.7 键盘电路 (19) 4 软件设计 (19) 4.1 测量计算原理 (20) 4.2 主程序流程图 (20)

4.3 中断程序流程图 (21) 4.4 定时器T0,T1的中断服务程序 (21) 5 系统测试与结果分析 (22) 5.1 测试方法和仪器 (22) 5.2 仿真与焊接阶段 (23) 5.2.1 仿真阶段 (23) 5.2.2 焊接与完成阶段 (23) 5.3 测试数据与结果分析 (25) 5.3.1测量结果与分析 (25) 5.3.2几种主要系统干扰和影响 (27) 结束语 (28) 参考文献 (29) 附录一:心率计电路图 附录二:部分程序

数字心率计设计资料

数字心率计设计说明书 1、程设计任务书

2.说明书正文 2.1:任务分析与方案设计 心率计是用来测量一个人心脏在单位时间跳动次数的电子仪器。心脏的收缩和舒张引起血压的变化,不同年龄段和不同健康状况的人正常血压范围有较大差异,但是收缩压和舒张压的差值却大致都在40mmHg~50mmHg 范围内。基于此,可以利用压力传感器将人体血压的变化转化成电压的变化,再通过滤波、放大、整形后得到方波,由模拟转化成数字后再进行后续处理。现提出两种计数方案: 1)定时计数 在一定时间内对脉冲信号进行计数。由于任务要求在短时间内测出1分钟心脏跳动的次数,则需要对整形后的方波信号进行倍频;又由于测量误差要求≤±4次/分钟,则最多可以4倍频,此时,测量时间为15s 。 电路模块方框图: 2)定数计时 在定数的脉冲信号持续时间内,对标准时钟信号进行计数,再通过转换得到心率值。如 设置标准时钟信号周期为0.1s ,在 6个脉冲信号持续时间内(即5个心脏跳动周期)对标准时钟信号进行计数,设计数值为 N ,则心率为3000/N 。计算过程如下: 每个脉冲周期To=0.1N/5 s ,则心率S=60/To=3000/N(次/ 分钟)。 电路模块方框图: 方案一的测量时间长,测量误差也较大,且测量误差与测量时间成反比关系;但是计数

值即为心率值,电路实现较为简单。方案二测量时间短,测量误差也小;但是计数后的值还需要进行除法转换后才是心率值,电路实现较为复杂,成本也较高,故采用方案一。 2.2:电路设计,元器件参数计算及选择 2.2.1:传感器的选择 传感器的选择需要综合考虑各项性能参数,这些性能参数要能满足测量要求,现对传感器的各项性能参数以及任务要求分析如下: 1)线性度指传感器输出与输入之间成线性的程度。任务要求是测量心脏跳动的次数,而并未要求测量出血压值,故只需要得到一个个脉冲输出即可,对其量值没有太大要求,故系统对传感器线性度要求不高。 2)灵敏度灵敏度是传感器在稳态下输出变化量对输入变化量的比值。由于人的血压压力较小,属于微压,也是微压差,故要求传感器有较大的灵敏度,才有一个比较大的输出量,对噪声的抑制也会更高。当然,灵敏度也要和后级放大器的放大倍数相匹配,并不是越大越好。3)迟滞也叫回程差,是指在相同测量条件下,对应于同一大小的输入量,传感器正反行程的输出信号大小不相等的现象。由于系统仅要求测出脉冲输出即可,故对迟滞性能要求不高。4)重复性表示传感器在输入量按同一方向作全量程多次测试时所得输入-输出特性曲线一致程度。重复性好,对于噪声抑制有利,故要求传感器有较好的重复性。 5)漂移指传感器在输入量不变的情况下,输出量随时间变化的程度。要求传感器有较小的漂移。 6)频率响应由于脉搏频率较低,所以对传感器频率响应要求不高。 综合以上性能参数以及任务要求,可以选出既能满足测量要求,又最便宜的传感器。通过搜集大量压力传感器信息进行综合比对,飞思卡尔的MPX2050D压阻式硅压力传感器能够满足要求。其主要特点如下: 压力范围0到50kPa 温度补偿范围0 到 +85℃ 独有的硅切应力应变片 提供编带式或卷轴式出货封装选项 对供电电压比率输出 外壳采用聚砜(Mindel S–1000)材料(医用5级许可) 图2.1 传感器外形图图2.2 传感器示意图 其主要性能参数如下: 表2.1

数字式脉搏计设计与制作

《数字电子技术》课程设计报告 班级电气1077 学号 1071206138 学生姓名缪亮亮 专业电气工程及其自动化 系别电子与电气工程学院 指导教师电子技术课程设计指导小组 淮阴工学院 电子信息工程系 2009年12月

1、设计目的: a) 培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产 实际知识去分析和解决工程实际问题的能力。 b) 学习较复杂的电子系统设计的一般方法,了解和掌握模拟、数字电路等知 识解决电子信息方面常见实际问题的能力,由学生自行设计、自行制作和自行调试。 c) 进行基本技术技能训练,如基本仪器仪表的使用,常用元器件的识别、测 量、熟练运用的能力,掌握设计资料、手册、标准和规范以及使用仿真软件、实验设备进行调试和数据处理等。 d) 培养学生的创新能力。 2、设计要求: 要求:实现在15S内测量1min的脉搏数,并且显示其数字。正常人脉搏数为60~80次/min,婴儿为90~100次/min,老人为100~150次/min。 1、主要单元电路和元器件参数计算、选择; 2、画出总体电路图; 3、安装自己设计的电路,按照自己设计的电路,在通用板上焊接。焊接完 毕后,应对照电路图仔细检查,看是否有错接、漏接、虚焊的现象。 4、调试电路 5、电路性能指标测试 3、总体设计: 3·1数字脉搏测试仪原理方框图

上图即为数字式脉搏计的总体设计框图。该数字式脉搏计由以下几部分组成:1)脉冲产生电路 2)放大整形电路 3)计数电路 4)定时电路 5)译码显示电路 3·2电路组成及工作原理 数字式脉搏计设计的思路是:先由压电陶瓷片YD将拾取的脉搏跳动信号转换成电信号,经LM324放大整形后,送到由CD4553和CD4511组成的计数显示电路。CD4553内部输入端设置了脉冲整形电路,所以对脉冲无甚特殊要求。它只有一组BCD 码输出,但通过内部分时控制可形成三位十进制数字显示。CD4511是译码器,其输出驱动三位LED共阴数码管。BG1、BG2、BG3分别由CD4553的15、1、2脚控制实现三位数码管的分时显示。CD4060组成计数闸门设定电路,R5、R6、C6与其内部电路组成振荡器,振荡器信号经内部213次分频后,由2脚输出延时 60 秒的正脉冲加到CD4553的11脚关闭闸门。使用时,用手表带或松紧带将压电陶瓷片压在手腕的挠动脉处,注意一定要压紧。在合上开关 K1 后即按一下复位开关 K2,使CD4060和CD4553清零,这时计数闸门打开,脉搏信号由CD4553进行计数。1分钟后,CD4060输出一高电平,使计数闸门关闭。这时数码管显示的数字即为每分钟的脉搏数。 3·3各框图的功能和可选电路及特点 1)脉冲产生电路:2)放大整形电路:可选LM324 3)计数电路:可选CD4553 4)定时电路:可选CD4060 5)译码显示电路:可选CD4511驱动共阴极数码管。 3·4电路制作所需的工具 3·5元器件列表

人体脉搏计

电子课程设计目录 第一部分电子课程设计题目及要求 1.题目 (1) 2.设计目的 (1) 3.设计内容及要求 (1) 4.脉搏计的基本原理 (1) 第二部分设计方案 1. 提出方案 (2) 2. 方案比较 (3) 第三部分电路设计与分析 (4) 1. 信号发生与采集 (4) 2. 放大电路 (4) 3.有源滤波电路 (5) 4.整形电路 (7) 5.倍频器 (9) 6.基准时间产生电路 (10) 6.1 NE555定时器 (10) 6.2 用555定时器构造施密特触发器 (11) 6.3 用施密特触发器构造多谐振荡器 (12) 7.计数译码器 (13) 7.1 计数电路 (13) 7.2 译码显示 (14) 8.控制电路 (17) 第四部分所用元件及实验心得 (18) 1.元件列表 (18) 2.实验心得 (18) 3.参考文献 (18) 附:总原理图 (19)

第一部分电子课程设计题目及要求 1. 题目人体脉搏计 2.设计目的 2.1熟悉脉搏计电路的组成、工作原理和设计方法。 2.2掌握多谐振荡器、倍频器、计数器、译码器等的工作原理、使用方法、特点、用途及主要参数的计算方法。 2.3熟悉集成电路74LS00、74LS161、CC4518、CC4511、晶闸管、有源滤波电路的特点、用途及主要参数的选择方法。 3.设计内容及要求 3.1设计题目:设计一个脉搏计。 3.2要求:实现在15s内测量1min的脉搏数,并且显示其数字。正常人的脉搏数为60~80次/min,婴儿为90~100次/min,老人为100~150次/min。 3.3放大与整形电路 放大电路:电压放大倍数u A 约为11倍,选R 4 =100 KΩ,C 1 =100μF。试选择其它元 件参数。有源滤波电路:电压放大倍数选用1.6倍左右。运放可均采用LM324,也可选其它型号运放。 整形电路:选用滞回电压比较器,集成运放采用LM339,其电路参数如下:R 10 =5.1KΩ, R 11=100 KΩ,R 12 =5.1 KΩ。 倍频电路:异或门选用可采用CC系列、也可采用TTL系列。基准时间产生电路:试选择电路其它未知参数。 计数、译码、显示电路:试选择电路其它未知参数。 控制电路:试选择电路其它未知参数。 4.脉搏计的基本原理 分析设计题目要求脉搏计是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要组成部分。由给出的设计技术指标可知,脉搏计是用来测量频率较低的小信号(传感器输出电压一般为几个毫安),它的基本功能应该是 ①用传感器将脉搏的跳动转换为电压信号,并加以放大整形和滤波。 ②在短时间内(15s内)测出每分钟的脉搏数。 简单脉搏计的框图如图1所示。 图1.1 脉搏计原理框图

电子脉搏计课程设计

电子脉搏计 课 程 设 计 报 告 组长:蔡新源 组员:史志华、张重彬、李海磊、杨威力、刘世洋、孙景伟、冀鹏辉、杨冠军、李峰 朝

目录 摘要 (3) 第一章绪论 (4) 第二章各种元器件及其应用 (5) 1、集成同步计数器及其应用张重彬 (5) 2、BCD-七段共阴数码管史志华 (7) 3、74LS161 计数器的应用杨威力 (10) 4、五进制的自循环冀鹏辉 (12) 5、集成同步计数器及其应用刘世洋 (13) 6、用 74LS161构成一个十进制计数器李海磊 (14) 7、四进制的自循环杨冠军 (15) 8、用 74LS290设置七进制计数器李峰朝 (17) 9、七进制的自循环孙景伟 .. 19 第三章数字脉搏计时器的方案比较 (21) 3.1方案论证 (21) 3.2提出方案 (21) 3.3方案比较 (23) 第四章单元电路的设计 (23) 4.1电路总体框图 (23) 4.2采集、放大与整形电路 (23) 4.2.1传感器 (24) 4.2.2放大电路 (24) 4.2.3整形电路 (25) 4.3倍频电路 (26) 4.4基准时间产生电路 (28) 4.5 计数、译码、显示电路 (28) 4.6 控制电路 (31)

总结 (32) 摘要 人体脉象中富含有关心脏、内外循环和神经等系统的动态信息。而脉搏的病理生理性改变常引发各种心血管事件,脉搏生理性能的改变可以先于疾病临床症状出现,通过对脉搏的检测可以对如高血压和糖尿病等引起的血管病变进行评估。同时脉搏测量还为血压测量,血流测量及其他某些生理检测技术提供了一种生理参考信号。 本文主要介绍了数字式脉搏计的具体实现方法,利用压电传感器产生脉冲信号,经过放大整形后,输入单片机内进行相应的控制,从而测量出一分钟内的脉搏跳动次数,快捷方便。通过观测脉搏信号,可以对人体的健康进行检查,通常被用于保健中心和医院。 关键词脉搏计;脉冲信号;压电传感器

脉搏计课程设计报告

报告成绩 电子电路综合实验报告 学生:贺杰 学号:1410404006 专业年级:2014级通信工程4班 指导教师:周妮讲师 起止日期:2016年3月—2016年6月 电气与信息工程学院 2016年6月3日

目录 1目的与意义 (1) 3 方案设计 (1) 4 系统硬件设计 (3) 5仿真调试与分析 (10) 6结论与体会 (10) 参考文献 (10) 附录 (11) 附录A 系统实物图 (11)

摘要:电于脉搏计可以连续台动地测量手术或重危病人的脉搏,也可以用于健康管理,运动员的训练等方面,为提高运用电子技术基本知识进行理论设计、实践创新以及独立工作、团队合作的能力,通过实践制作一个数字频率计,学会合理的利用集成电子器件制作基于数字电路和模拟电路的课程设计与制作。电子脉搏计是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要组成部分,它是用来测量频率较低的小信号。 1目的与意义 一、目的: 1、掌握组合逻辑电路的工作原理及设计方法。 2、学会安装和调试分立元件与集成电路组成的电子电路小系统。 二、意义 对于医院的危重病人,或者在其他一些特殊场合,需对人的脉搏进行连续检测,本课题即针对这一需求,设计一台简易的电子脉搏计。 1、制作要求 实现在15S测量1min的脉搏数,并且显示其数字。正常人脉搏数为60~80次/min 婴儿为90~100次/min,老人为100~150次/min。(只考虑数字部分,即输入波形视为矩形波) 2、制作步骤 (1)拟定测试方案和设计步骤,填写真值表; (2)根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (3)进行相应的仿真测试; (4)设计、调试和安装电路并测试; (5)撰写设计报告。 2 方案设计 电子脉搏计是由脉搏计数器和控制时间的定时电路所组成,并且还要在15S测量出1min的脉搏数。所以,我们先按要求,分开设计各个功能的电路图,然后再组合连接成一个完整的按要求的电子脉搏计。 方案一:

心率计 毕业设计论文

摘要 在社会飞速发展的今天,人们的物质文化生活得到了极大的提高,但同时多种疾病威胁着人们的生命;而心脏病的发作又是人们难以预防的突发致命疾病,所以健康也被越来越多的人所重视。本设计要解决的问题就是可以测量心率、预防心脏病等心脏方面疾病的数字心率计。 本设计采用以AT89S52单片机为核心的低成本、高精度、微型化数字显示心率计的硬件电路和软件设计方法。整个电路采用模块化设计,由主程序、预置子程序、信号采集子程序、信号放大处理子程序、显示子程序等模块组成。各探头的信号经单片机综合分析处理,实现心率测量的各种功能。在此基础上设计了系统的总体方案,最后通过硬件和软件实现了各个功能模块。相关部分附有硬件电路图、程序流程图。该心率计的原理是用红外光电传感器OPT101接收到人体信号,因人体信号很微弱,所以在电路中设置了双重放大电路(主要芯片:OP07、LM324N)。该信号经放大整形处理后传给A/D转换器实现模拟信号转为数字信号,经过以上处理后,再传给单片机AT89S52计算,计算完后由四位数码管显示出来。 该心率计可以简单的测量出人的心跳和人体体温,基本实现了预定的目标,这将大大减少病人测量心跳和体温的时间。 关键字:心率;测量;单片机AT89S52;转换器

Abstract Today in the rapid development of society, people's material and cultural life has been greatly improved, but also a variety of diseases threatening people's lives; and heart attack is it difficult to prevent sudden fatal disease, so health is also valued by more and more people. The design problem to be solved is that you can measure heart rate, cardiac disease, heart disease and other digital heart rate meter. This design uses to A T89S52 microcontroller core, low-cost, high accuracy, digital display of heart rate meter miniaturization of hardware and software design. The probe by the single chip integrated analysis of signal processing functions to achieve heart rate measurement. On this basis, the overall design of the system program, and finally achieved through various hardware and software modules. With the relevant parts of the hardware circuit, the program flow chart. The principle of the heart rate meter is used to receive infrared photoelectric sensor OPT101 to human signals, the signal is very weak because of the human body, so the circuit is set in the dual amplifier (main chip: OP07, LM324N). The signal passed through enlarged plastic treated A / D converter for analog signals into digital signals, with the above treatment, and then passed to microcontroller AT89S52 calculated, finished up by four digital displayds heart rate, The heart rate meter can easily measure the person's heart rate and body temperature, essentially achieving its stated goals, which will greatly reduce the patients of heart rate and body temperature of the time. Keywords: heart rate;measurement;microcontroller AT89S52;converter

课程设计————电子心率计的设计

课程设计说明书正文 1:任务分析与方案设计 心率计是用来测量一个人心脏单位时间内跳动次数的电子仪器,由于人体各部位心率一致,所以通常测量人手臂处的脉搏即可测出人体心率。任务要求测出的心率为一分钟内心跳的次数,并显示,测量结果要与标准范围作比较,不在标准范围内则报警。 设计方案为:采用传感器,量脉搏的跳动,出微弱的信号,入放大器中放大;后通过滤波器滤除干扰信号后,将形整形为方波或脉冲信号;将其作为计数控制信号,用基准时间一定的方波作为计数脉冲在一个心跳周期内计数,计数值N 与基准时间T 的乘积就是一次心跳的时间。再对“60/基准时间T ”个脉冲进行N 分频,对分频后的信号计数,其计数值则为本次心率数值。之后计数器计数值输入到显示器中显示,同时,将其输入的频率进行F/V 转换后与标准电压值作比较,若,测量值不在标准值范围内则报警,即LED 灯亮。流程图如下。 2:电路设计,元器件参数计算及选择 2.1:传感器的选择 :

红外线检测原理: 随着心脏的博动,人体组织半透度随之改变,当血液流回心脏,组织半透度增大,这种现象在人体组织较薄的指尖、耳垂等部位最明显。用红外发光二极管产生红外线照射到人体上述部位,并用装在一旁的红外光电管来检测机体组织的透明度并转换成电信号,其信号频率与脉搏频率相对应并且其为低频近似的正弦信号。 TCRT5000(L)具有紧凑的结构发光灯和检测器安排在同一方向上,利用红外光谱反射对象

电压跟随器的显著特点就是,输入阻抗高,而输出阻抗低,一般来说,输入阻抗要达到几兆欧姆是很容易做到的。输出阻抗低,通常可以到几欧姆,甚至更低。 在电路中,电压跟随器一般做缓冲级及隔离级。因为,电压放大器的输入阻抗一般比较高,通常在几千欧到几十千欧,如果后级的输出阻抗比较小,那么信号就会有相当的部分损耗在前级的输出电阻中。在这个时候,就需要电压跟随器来从中进行缓冲。起到承上启下的作用。应用电压跟随器的另外一个好处就是,提高了输入阻抗,这样,输入电容的容量可以大幅度减小,为应用高品质的电容提供了前提保证]1[。 仿真图: 黄色信号(下)为输入信号。 蓝色信号(上)为输出信号。 由图中可以看出,输入输出信号基本相等。 2.3:放大电路的设计 传感器输出为微弱信号,需进行放大后才便于后续电路的处理。考虑到后续电路中滤波器电路也具有信号放大的功能,所以放大器的放大倍数不宜过大,初 步选择为660倍。设计电路的原理如下:

数电课设报告 电子脉搏计设计

数字电子技术课程设计报告题目:电子脉搏计设计 班级: 姓名: 指导老师: 组号: 2

目录 第一章设计设计任务及要求 (1) 第二章方案设计与论证 (1) 2.1方案一 (1) 2.2方案二 (2) 2.3总结方案 (2) 2.4设计原理及方框图 (3) 第三章各单元电路电路设计与分析 (3) 3.1四倍频电路 (3) 3.2脉搏计数电路 (4) 3.3计时控制电路 (5) 3.4时钟信号产生电路 (6) 3.5译码显示电路 (7) 第四章总体电路原理图及元件清单 (7) 第五章电路仿真及仿真结果分析 (9) 第六章作品照片图 (10) 第七章心得体会 (11) 第八章参考文献 (12) 第九章附页 (13)

电子脉搏计 一、设计设计任务及要求 用中小规模集成块模拟世贤电子脉搏计逻辑控制电路的具体要求如下: (1)实现在15s内测量1min的脉搏数。 (2)用数码管将测得的脉搏数用数字的形式显示。 (3)正常人的脉搏数为60~80次/min,婴儿的为90~100次/min,老人为100~150次/min,可通过与上述正常脉搏数比较,给出测脉搏人的脉搏数高出或低出正常范围的数值。 二、方案设计与论证 方案一 1.传感器将脉搏跳动信号转换为与此相对应的电脉冲信号。 2.放大整形电路把传感器的微弱电流放大,微弱电压放大。 3.四倍频器将整形后所得到的脉冲信号的频率提高。如将15s内传感器所获得的信 号频率4倍频,即可得到对应一分钟的脉冲数,从而缩短测量时间。 4.控制电路用555定时器以保证在基准时间控制下,使4倍频后的脉冲信号送到计数、显示电路中。 5.计数、译码、显示电路用来读出脉搏数,并以十进制数的形式由数码管显示出。 6.电源电路按电路要求提供符合要求的直流电源。上述测量过程中,由于对脉冲 进行了4倍频,计数时间也相应地缩短了4倍(15s),而数码管显示的数字却是lmin的脉 搏跳动次数。用这种方案测量的误差为±4次/min,测量时间越短,误差也就越大。 方案二 与方案一相比,信号发生与采集、定时电路、计数译码显示电路不变。其他有所改变。 2)放大电路用普通运放进行发大,为达到高输入阻抗的要求,采用同相比例放大。 3)低通滤波在运放的反馈电阻上并联一个电容,达到滤波的效果。 4)整形电路通过运放组成的单限比较器进行脉冲整形。 方案二的放大电路除了在阻抗匹配方面略显弱势之外,使用更为普遍。为了探索非门 再放大方面的应用,选择了方案一。 总结方案:

数字电路课程设计红外线心率计

数字电子技术课程设计报告指导老师:严国红、夏海霞 姓名: 学号: 班级:

1产品简介 红外线心率计就是通过红外线传感器检测出手指中动脉血管的微弱波动,由计数器计算 出每分钟波动的次数。但手指中的毛细血管的波动是很微弱的,因此需要一个高放大倍数且低噪声的放大器,这是红外线心率计的设计关键所在。通过本产品的制作,可以使学生掌握常用模拟、数字集成电路(运算放大器、非门、555定时器、计数器、译码器等)的应用。 2红外线心率计工作原理 2.1 红外线心率计的原理框图 整机电路由-10V电源变换电路、血液波动检测电路、放大整形滤波电路、3位计数器电路、门控电路、译码驱动显示电路组成,如图1所示。 图1红外线心率计的原理框图 2.2 单元电路的工作原理 ⑴负电源变换电路 为简化实验的步骤,实验中直接用+12V、和-10V的电源代替负电源变换电路。 ⑵血液波动检测电路 实验中采用信号源发生器直接产生正弦波代替原来的血液波动检测电路。 ⑶放大、整形、滤波电路 放大、整形、滤波电路是把传感起检测到的微弱电信号进行放大、整形、滤波,最后输出反映心跳频率的方波,如图5所示。其中LM741为高精度单运放电路,它们的引脚功能如 图 3 (b)所示。IC2、IC3、IC4都为LM741。 + 12V

图5信号放大、整形电路 因为传感器送来的信号幅度只有2?5毫伏,要放大到10V左右才能作为计数器的输入脉 冲。因此放大倍数设计在4000倍左右。两级放大器都接成反相比例放大器的电路,经过两级放大、反相后的波形是跟输入波形同相、且放大了的波形。放大后的波形是一个交流信号。 其中A i、A的供电方式是正负电源供电,电源为+12V、-10V。 A i、A与周围元件组成二级放大电路,放大倍数A uf为: R 4 R8 A uf 4一= 66 66 4000 R 3 R 6 由于放大后的波形是一个交流信号,而计数器需要的是单方向的直流脉冲信号。所以经 过V s检波后变成单方向的直流脉冲信号,并把检波后的信号送到RC两阶滤波电路,滤波电路 的作用是滤除放大后的干扰信号。R、V4组成传感器工作指示电路,当传感器接收到心跳信号 时,V4就会按心跳的强度而改变亮度,因此V4正常工作时是按心跳的频率闪烁。直流脉冲信 号滤波后送入A s的同相输入端,反相输入端接一个固定的电平,A s是作为一个电压比较器来 工作的,是单电源供电。当A的3脚电压高于2脚电压的时候,6脚输出高电平;当A的3 脚电压低于2脚电压的时候,6脚输出低电平,所以A s输出一个反应心跳频率的方波信号。 ⑷门控电路 555定时器是一种将模拟电路和数字电路集成于一体的电子器件,用它可以构成单稳态 触发器、多谐振荡器和施密特触发器等多种电路。555定时器在工业控制、定时、检测、报 警等方面有广泛应用。 555定时器内部电路及其电路功能如图6(a)、(b)所示。555内部电路由基本RS触发器FF、比较器COMP COMP和场效应管V1组成(参见图6(a))。当555内部的COMP反相输入端(-)的输入信号V R小于其同相输入端(+)的比较电压V CO(VCO二"IV DD)时,COMP俞出高电位,置触发器FF为低电平,即Q=0;当COMP同相输入端(+)的输入信号V S大于其反相输入端(-)的比较电压VCd2(1/3V DD)时,COMP俞出高电位,置触发器FF为高电平,即Q=1。R D是直接复位端,R D=0 , Q=0 MOSf V是单稳态等定时电路时,供定时电容C对地放电

基于单片机的心率计设计论文

基于单片机的心率计设计 摘要 心率是指单位时间心脏搏动的次数,包含了许多重要的生理、病理信息,特别是与心脑血管相关的信息,是生物医学检测中一个重要的生理指标,也是临床常规诊断的生理指标;因此迅速准确地测量心率便显得尤为重要。随着医疗水平和人们生活水平的提高,快速、准确、便携式心率计便成为一种新的发展趋势,同时伴随着单片机技术的发展,基于单片机的便携式心率计便不失为一个好的选择。 本心率计共有三大部分,分别为:传感器部分、信号处理部分、单片机控制部分。传感器部分采用光电式传感器实现对信号采集;信号处理部分则采用放大、滤波、波形变换等方法实现信号的有效处理;而单片机部分则实现对心率的计数和显示功能。通过这三部分的有效组合初步实现对人体心率的一个有效计数。 信号采集采用光电式传感器通过对手指末端透光度的监测,实现信号的采集;信号放大则采用四运放运算放大器LM324,波形变换采用555定时器构成反向施密特触发器;单片机控制模块则采用AT89C51微处理器和相关元器件通过C语言编程实现计数和显示功能。 关键词:心率,光电式传感器,信号处理,AT89C51

DESIGN OF HEART RATE METER BASED ON MCU ABSTRACT Heart rate is refering to the number in unit time of the heart beating, contains many important physiological and pathological information, especially information associated with cardiovascular, biomedical detection an important physiological indexes, and routine clinical diagnosis of physiological indexes; so quickly and accurately measuring heart rate appears to be particularly important. With the improvement of medical level and people's living standards, rapid, accurate and portable heart rate meter has become a new trend, accompanied by the development of SCM technology, will not be regarded as a good choice of meter based on microcontroller portable heart rate. Heart rate meter consists of three parts, respectively: sensor part, signal processing part, MCU control part. Part of the sensor using photoelectric sensor achieved the signal of the signal acquisition; signal processing part uses the amplification, filtering, waveform transform method to effectively deal with; and part of SCM is to achieve counting on heart rate and display function. Through the effective combination of these three parts, an effective count of human heart rate is realized.. Signals were collected using photoelectric sensor through the monitoring of the degree of light at the end of a finger, to realize the signal acquisition; signal amplification four operational amplifier LM324 operational amplifier is used, the waveform transform the 555 timer constitute reverse Schmitt trigger; MCU control module is used AT89C51 microprocessor and related components by C language programming counting and display function.

心率计设计

附重庆大学本科学生课程设计任务书

电子心率计设计说明书 一前言 随着社会的发展与进步,我们的生活节奏也越来越快,面对每天繁忙的工作生活,我们不一定能像以前那样定期抽出时间去为自己身体做一次体检。而事实上我们身体承受的负荷却越来越大,相比于以前我们需要给自己的身体以更多的关注,甚至是时刻了解它的健康状况。身体的健康与否在很多方面都会有所体现。比如一个人的心率值就基本能反映一个人心脏是否正常工作的。大家都知道心脏是我们人体中最重要的器官之一,使我们生命的源动力。所以我们能时刻了解它的状态是很重要的。由于我们平时不一定总是能抽出时间去做体检,所以我们需要一个简单的,便于操作的,可靠性高的仪器来帮助我们在短时间内测到我们的心率值。让我们能及时了解到我们现在心脏以及身体的状态。 附心率的生理意义 人的心脏比握紧的拳头稍大,平均重量为300g。它是人体内“泵器官”,负责人体血液循环。心脏每天跳动超过10万次,累计使8千多公升的血液,流经约1万9千公里长的动静脉,从而维持血液循环。心脏有四个腔,分别是左心房、右心房、左心室和右心室。右心房接受全身各器官回流的含氧低静脉血并输入右心室,右心室把血液泵入肺脏进行氧气与二氧化碳的气体交换。左心房将自肺脏返回的含氧高的动脉血输入左心室,左心室再将血液输送至全身器官。从我们出生的那一刻起,心脏便24小时不停地工作,为全身输送氧气和养分。心脏能够这样周而复始地有规律地工作,是因为心脏有一个天然的起搏器——窦房结,它能自发地、有节律地发放电脉冲,并沿着结间束、房室结、希氏束和左右束支这一固定的激动传导途径由上向下传遍整个心脏,使心脏各个腔室顺序收缩,完成运送血液的工作。心脏的正常工作要求心脏节律发放和传导系统的结构和功能正常。心率(heart rate)指心脏分钟搏动的次数,它能够反映心脏的工作状态。正常心率决定于窦房结的节律性,成人静息时约60~100次/min,平均约75次/min。心率可因年龄、性别及其他因素而变化。初生儿心率约130次/min,随年龄增长而逐渐减慢,至青春期乃接近成人的心率。女性心率比男性稍快;运动员心率较慢。成人安静心率超过120次/min者,为心动过速;低于40次/min者为心动过缓。心率受植物性神经和体液因素调节。安静或睡眠时,心迷走中枢紧张性增高,心交感中枢紧张性降低,心率减慢。运动、情绪激动、精神紧张时,心迷走中枢紧张

基于单片机的心率计设计

目录 摘要 (3) 英文摘要 (3) 1 引言 (4) 1.1 心率计的研究背景和意义 (4) 1.2 心率计的研究现状及发展动态 (4) 2 方案论证及元器件选择 (5) 2.1 研究内容及设计指标 (5) 2.2 方案设计与论证 (5) 2.2.1 传感器的选择与论证 (5) 2.2.2 信号处理方案选择和论证 (7) 2.2.3 单片机系统选择和论证 (8) 2.2.4 显示模块选择和论证 (9) 2.3元器件选择及其功能介绍 (9) 2.3.1单片机AT89S52 (9) 2.3.2红外传感器 (11) 2.3.3双运算放大器LM358N (11) 2.3.4 LCD12864 (12) 3 硬件系统设计 (13) 3.1 系统设计框图 (13) 3.2 信号采集电路 (14) 3.3 信号放大电路 (15) 3.3.1一级信号放大电路 (15) 3.3.2 电源模块设计 (16) 3.4 信号比较电路 (17) 3.5 LCD显示电路 (18) 3.6 记忆电路 (18) 3.7 键盘电路 (19) 4 软件设计 (19) 4.1 测量计算原理 (20) 4.2 主程序流程图 (20)

4.3 中断程序流程图 (21) 4.4 定时器T0,T1的中断服务程序 (21) 5 系统测试与结果分析 (22) 5.1 测试方法和仪器 (22) 5.2 仿真与焊接阶段 (23) 5.2.1 仿真阶段 (23) 5.2.2 焊接与完成阶段 (23) 5.3 测试数据与结果分析 (25) 5.3.1测量结果与分析 (25) 5.3.2几种主要系统干扰和影响 (27) 结束语 (28) 参考文献 (29) 附录一:心率计电路图 附录二:部分程序

测试技术课程设计脉搏测量仪

《机械工程测试技术》 课程设计 脉搏测量仪的设计 姓名:张峰 学院:机电工程学院 专业:机械设计制造及其自动化班级:2010级本科4班 学号:201015130457 完成日期:2012年12月28日

摘要 医院的护士每天都要给住院的病人把脉记录病人每分钟脉搏数,方法是用手按在病人腕部的动脉上,根据脉搏的跳动进行计数。为了节省时间,一般不会作1分钟的测量,通常是测量10秒钟时间内心跳的数,再把结果乘以6即得到每分钟的心跳数,即使这样做还是比较费时,而且精度也不高。本文介绍一种用单片机制作的脉搏测量仪,只要人把手指放在传感器内2秒钟就可以精确测量出每分钟脉搏数,测量结果用三位数字显示。 关键词:AT89C2051;单片机;脉搏测量仪

目录 第一章引言 (1) 第二章基本结构模块 (2) 2.1脉搏波检测电路 (2) 2.2脉搏信号拾取电路 (2) 2.3信号放大 (3) 2.4波形整形部分 (5) 第三章整体电路分析 (7) 3.1光发射电路 (7) 3.2光电转换电路 (7) 3.3信号采集及处理系统 (8) 3.4过采样技术的应用 (8) 3.5整体硬件电路设计 (9) 参考文献 (10)

第一章引言 脉搏测量属于检测有无脉博的测量,有脉搏时遮挡光线,无脉搏时透光强,所采用的传感器是红外接收二极管和红外发射二极管。用于体育测量用的脉搏测量大致有指脉和耳脉二种方式。这二种测量方式各有优缺点,指脉测量比较方便、简单,但因为手指上的汗腺较多,指夹常年使用,污染可能会使测量灵敏度下降;耳脉测量比较干净,传感器使用环境污染少,容易维护。但因耳脉较弱,尤其是当季节变化时,所测信号受环境温度影响明显,造成测量结果不准确。 从脉搏波中提取人体的生理病理信息作为临床诊断和治疗的依据,历来都受到中外医学界的重视。几乎世界上所有的民族都用过“摸脉”作为诊断疾病的手段。脉搏波所呈现出的形态(波形)、强度(波幅)、速率(波速)和节律(周期)等方面的综合信息,在很大程度上反映出人体心血管系统中许多生理病理的血流特征,因此对脉搏波采集和。 处理具有很高的医学价值和应用前景。但人体的生物信号多属于强噪声背景下的低频的弱信号, 脉搏波信号更是低频微弱的非电生理信号, 必需经过放大和后级滤波以满足采集的要求。

江西理工大学应用科学学院电子心率计设计

江西理工大学应用科学学院 微机控制系统课程设计报告 题目:电子心率计设计 姓名: 学号: 专业班级:电气12X班 指导教师:李振凯 完成时间:2015年6月27日

目录 摘要 (1) 1 绪论 (2) 1.1 设计要求及设计内容 (2) 1.1.1 基本要求 (2) 1.2 此次设计研究的主要内容应解决的问题 (2) 2 设计方案介绍 (3) 2.1 各部分电路介绍 (3) 2.1.1 系统设计框图 (3) 2.1.2 信号采集电路 (3) 2.1.3 信号放大电路 (4) 2.1.4 信号比较电路 (4) 2.1.5 LCD1602显示电路 (5) 2.1.6 键盘电路 (5) 2.2 主要器件介绍 (6) 2.2.1单片机AT89S52 (6) 2.2.2 红外传感器 (7) 2.2.3双运算放大器LM358N (8) 2.2.4 LCD1602显示模块 (9) 3 系统程序设计与仿真 (10) 3.1 程序流程图 (10) 3.1.1 主程序流程图 (10) 3.1.2 中断程序流程图 (11) 3.1.3 定时器T0和T1的中断服务程序 (11)

摘要 随着现代社会,人们对自己的健康越来越关心,因此对各种医疗设备的需要也越来越大。其中心率测量仪是最常见的医疗设备之一,它能应用于医疗、健康、体育以及我们生活中的方方面面,因此一个简单便宜而又有较高精度的心率测量仪是很有市场的。 我们无法通过直接测量来获取人的心率,但是由于人的脉搏是与心跳直接相关的。因此,我们可以通过测量脉搏来间接测量人的心率。我们小组的光电系统课程设计制作的光电心率测量仪是用光电传感器测量经手指尖反射的信号,然后经过滤波放大后送到51单片机进行信号处理并将计算所得到的心率值通过动态扫描的方式显示出来。 关键词:51单片机;光电测量;A/D采样;动态扫描显示;响铃提醒。

相关主题
文本预览
相关文档 最新文档