当前位置:文档之家› 数电脉搏计数器电路课程设计

数电脉搏计数器电路课程设计

数电脉搏计数器电路课程设计
数电脉搏计数器电路课程设计

烟台南山学院

数字电子技术课程设计题目脉搏计数电路设计

姓名:___ XXXXXX ___

所在学院:_工学院电气与电子工程系

所学专业:_ 自动化

班级:___电气工程XXXX

学号:___XXXXXXXXXXXXXX

指导教师:_____ XXXXXXXX ___ 完成时间:____ XXXXXXXXXXXXX

数电课程设计任务书

一、基本情况

学时:40学时学分:1学分适应班级:12电气工程

二、进度安排

本设计共安排1周,合计40学时,具体分配如下:

实习动员及准备工作:2学时

总体方案设计:4学时

查阅资料,讨论设计:24学时

撰写设计报告:8学时

总结:2学时

教师辅导:随时

三、基本要求

1、课程设计的基本要求

数字电子技术课程设计是在学习完数字电子课程之后,按照课程教学要求,对学生进行综合性训练的一个实践教学环节。主要是培养学生综合运用理论知识的能力,分析问题和解决问题的能力,以及根据实际要求进行独立设计的能力。初步掌握数字电子线路的安装、布线、焊接、调试等基本技能;熟练掌握电子电路基本元器件的使用方法,训练、提高读图能力;掌握组装调试方法。其中理论设计包括总体方案选择,具体电路设计,选择元器件及计算参数等,课程设计的最后要求是写出设计总结报告,把设计内容进行全面的总结,若有实践条件,把实践内容上升到理论高度。

2、课程设计的教学要求

数字电子技术课程设计的教学采用相对集中的方式进行,以班为单位全班学生集中到设计室进行。做到实训教学课堂化,严格考勤制度,在实训期间累计旷课达到6节以上,或者迟到、早退累计达到8次以上的学生,该课程考核按不及格处理。在实训期间需要外出查找资料,必须在指定的时间内方可外出。

课程设计的任务相对分散,每3名学生组成一个小组,完成一个课题的设计。小组成员既有分工、又要协作,同一小组的成员之间可以相互探讨、协商,可以互相借鉴或参考别人

的设计方法和经验。但每个学生必须单独完成设计任务,要有完整的设计资料,独立撰写设计报告,设计报告雷同率超过50%的课程设计考核按不及格处理。

四、设计题目及控制要求

设计题目:脉搏计

要求: 1、实现15s内测1min的脉搏数并显示其数字

2、正常人脉搏数一般为60~150次

五、设计报告

设计完成后,必须撰写课程设计报告。设计报告必须独立完成,格式符合要求,文字(不含图形、程序)不少于2000字,图形绘制规范。设计报告的格式如下:

1、封面

2、内容提要

3、目录

4、正文

(1) 所作题目的意义、本人所做的工作及系统的主要功能;

(2) 方案选择及论证;

(2) 设计步骤及原理图;

(3) 元器件的选择;

(4) 设计过程中出现问题的解决方法;

5、心得体会

6、参考文献

六、考核方法

数电课程设计的考核方式为考查,考核结果为优秀、良好、中等、及格和不及格五等,分数在90-100之间为优秀,80-89分之间为良好,70-79分之间为中等,60-69分之间为及格,60分以下为不及格。

考核分三个方面进行:平时表现20%;设计过程25%;设计报告 40%;设计答辩15%。

有下列情形之一者,课程设计考核按不及格处理:

1、设计期间累计迟到、早退达8次;

2、设计期间累计旷课达6节;

3、设计报告雷同率超过50%或无设计报告;

4、不能完成设计任务,达不到设计要求。

序言

数字电子时钟电路设计系统,以AT89C51单片机为控制核心,由键盘显示、LED 共阴极数码管和LED灯显示等功能模块组成。基于题目基本要求,本系统对时间显示进行了重点设计。本系统大部分功能由软件来实现,吸收了硬件软件化的思想,大部分功能通.过软件来实现,使电路简单明了,系统稳定性大大提高。

本文首先对基础电路进行介绍,通过对按键输入电路、时钟电路、复位电路、蜂鸣器驱动电路、显示电路、LED段码驱动电路等知识的学习,加深了对电子时钟的认识。让后利用汇编语言进行编程,控制时钟的正常运行,最终完成了课题的设计。

本文讨论的单片机多功能时钟系统的核心是目前应用极为广泛的51系列单片机,配置了外围结构,构成了一个可编程的及时定时系统,具有体积小,可靠性能高,功能多等特点,不仅能满足所需要求而且还有很多功能可供扩展,有着广泛的应用领域。

目录2.7

第1章系统方案设计

1.1 设计任务及要求

第2章电路方案设定

2.1数字脉搏计电路原理框图

图2.1

2.2信号放大电路

将5mv正弦信号放大1000倍,以驱动CMOS芯片

因为直接放大1000倍难以做到,故采用三级放大,此为用运放LM324的反相放大电路。

图2.2

2.3滤波电路

本电路要求的通频带是0.5Hz~50Hz,因此需设计一个电路将频率高于200Hz的高频信号和频率低于0.05Hz的低频信号滤掉。本部分电路用的是带通滤波器,由一个高通滤波器及一个低通滤波器串联而成,原理图如下:

图2.3

参数为:低通部分:R1=223欧,R2=455欧,R01=Rf1=678欧,C3=C4=10uF 高通部分:R3=45.5k欧,R4=22.3k欧,R01=Rf2=67.8k欧,C1=C2=10uF

2.4整形电路

放大滤波之后的信号仍需从模拟量转化为数字量,此功能使用整形电路完成。

方案一:滞环电压比较器整形电路由滞环电压比较器构成,如图,由于LM339属于集电极开路输出,使用时输出端应加2k欧的上拉电阻R3。二极管VD的作用是电平转换,将比较器输出的正负脉冲信号变换成正脉冲输出。

方案二:施密特触发器可以很好的完成整形功能,触发器可用555定时器或CMOS构成,又或集成施密特触发器,为方便起见,此处使用集成施密特触发器74LS14

2.5倍频电路

为提高脉冲信号的频率,从而缩短测量时间,使用锁相环倍频电路达到此效果。CD4046是目前常见的集成锁相环,用CD4046实现的一种N倍频电路如下图所示。CD4046是可预置数的4位二进制计数器,用来实现N分频。改变置数值,可以改变N。

若要在5s在完成测量,需将信号频率加大12倍,倍频部分应采用12倍频电路(N=1011),若要在15s内完成测量,需将信号频率加大4倍,倍频部分应采用4倍频电路(N=0011)。

2.6计数电路

选用芯片CD4518。引脚图如下:

图2.4

引脚功能说明:CD4518为16脚2/10进制同步加计数器,,其功能引脚分别为1-7,9-15.该计数器是单路系统脉冲输入〔1脚或2脚;9脚或10脚)4路BCD码信号输出,(3-6,11-14脚)CD4518有两个时钟输入端CP和EN,若用时钟上升沿触发,信号由CP输入,此时EN端为高电平(1),若用时钟下降沿触发,信号由EN输入,此时CP

端为低吨平(0),同时复位端Cr也保持低电平(0),只有满足了这些条件时,电路才会处于计数状态。

2.7译码电路

选用芯片CD4511,引脚图如下:

图2.5

引脚功能说明:BI:4脚是消隐输入控制端,当BI=0时,数码管不显示任何东西。LE:锁定控制端,当LE=0时,允许译码输出,LE=1时译码器处于锁定保持状态。LT:3脚是测试信号的输入端,当BI=1时,LT=0时,数码管将全部显示,这主要用于测试7段数码管有没有物理损坏。A3,A2,A1,A0为8421BCD 码输入端,高位到低位依次为A3~A0。A,b,c,d,e,f,g为译码输出端,输出高电平有效。因此将CD4518的3-6引脚或11-14引脚接到CD4511的A,B,C,D 四个引脚,再将CD4511的a~g引脚接在数码管的8个引脚处,便可实现译码,计数,显示过程。

2.8数码管显示电路

直接用数码管即可,需要注意在连线过程中要将数码管com端与地相连。故计数过程这部分的总原理图如下:

图 2.6

总结

经过两个星期的努力,课程设计终于大告成功了。通过这次的设计使我认识到我对单片机方面的知识知道的太少了,对于书本上的很多知识还不能灵活运用,有很多我们需要掌握的知识在等着我去学习,我会在以后的学习生活中弥补我所缺少的知识。本次的设计使我从中学到了一些很重要的东西,那就是如何从理论到实践的转化,怎样将我所学到的知识运用到我以后的工作中去。此次最大的困难是如何利用软、硬件配合的方式产生中断,对中断的初始化、具体设置、中断返回还不是很清楚,程序设计一度陷入停滞状态,最后在同学的帮助下,我才完成了该实验,实现了数字电子时钟的基本功能。

经过这次课程设计,也总结了很多问题:

1.在设计程序之前,务必要对所用单片机的内部结构有一个系统的了解,知道该单片机片内有哪些资源。

2.设计程序采用什么编程语言并不是非常重要,关键要有一个清晰的思路和一个完整的软件流程图。

3.在设计程序时,不能妄想一次就将整个程序设计好,“反复修改,不断改进”是程序设计的必经之路。

4.在设计程序过程中遇到问题是很正常的,但我们应该将每次遇到的问题记录下来,并分析清楚,以免下次再碰到同样的问题。

我觉得课程设计反映的是一个从理论到实际应用的过程,但是更远一点可以联系到以后毕业之后从学校转到踏上社会的一个过程。在大学的课堂的学习只是在给我们灌输专业知识,而我们应把所学的用到我们现实的生活中去,此次的电子时钟设计给我奠定了一个实践基础,我会在以后的学习、生活中磨练自己,使自己适应于以后的竞争。

参考文献

1. 王萍. 电子技术实验[M].天津大学出版社

2.周跃庆. 数字电子技术基础教程[M].天津大学出版社

3. 周跃庆. 模拟电子技术基础教程[M].天津大学出版社

4. 网络上的各个论坛和资料

数电实验报告 计数器

实验报告 实验七计数器原理测试及其设计 2.7.1 实验目的 1.掌握中规模集成计数器74LS160、74LS161、74LS163的逻辑功能及使用方法。 2.掌握同步清零与异步清零的区别及74LS160计数器的级联方法。 3.学习用中规模集成计数器设计任意进制计数器。 2.7.2 实验仪器设备与主要器件 实验箱一个;双踪示波器一台;稳压电源一台;函数发生器一台。 74LS160,74LS161和74LS163。 2.7.3 实验原理 计数器的功能是记录输入脉冲的个数。他所能记忆的最大脉冲个数称为该计数器的模。计数器不仅能统计输入脉冲的个数,还可以用作分频、定时、产生节拍脉冲等。根据进位方式,可分为同步和异步两类。根据进制,可分为二进制、十进制和任意进制等。根据逻辑功能,可分为加法计数器、减法计数器和可逆计数器等。根据电路集成度,可分为小规模集成计数器和中规模集成计数器。 2.7.4 实验内容 1.分别用74LS161和74LS163设计模13计数器,采用清零法实现,并用数码管显示实验结果。 设计思路:74LS161是十六进制计数器,所以我在它计数到13(1101)清零就行了,再利用二进制数与BCD码对应关系,即利用74LS283的逻辑功能使数码管显示实验结果。计数时电路状态转换关系: 0000→0001→0010→0011→0100→0101→0110→0111→1000→1001→1010→1011→1100→0000

设计思路:74LS163接法与74LS161基本一样,只是163的清零信号是12不是13,如图: 2.设计一个用3位数码管指示的六十进制计数器,并用三只开关控制计数器的数据保持、计数及清零功能。 设计思路:用Cr=0控制计数器清零,用EP*ET=0控制计数器数据保持,用高低电平和CP脉冲进行与运算控制计数器计数功能。U1的清零信号是在计数到6时,U1清零的同时U3开始计数,这样就能实现用3位数码管指示的六十进制计数器。如图:

数字电路课程设计数字时钟

数字电路课程设计 数字时钟

《数字时钟》技术报告 概要 数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。经过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时和校时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 一、系统结构。 (1)功能。 此数字钟能显示“时、分、秒”的功能,它的计时周期是24 小时,最大能显示23时59分59秒,并能对时间进行调整和校对,相对于机械式的手表其更为准确。

(2)系统框图。 系统方框图1 (3)系统组成。 1.秒发生器:由555芯片和RC组成的多谐振荡器,其555上3的输出频率由接入的电阻与电容决定。 2.校时模块:由74LS03中的4个与非门和相应的开关和电阻构成。 3.计数器:由74LS90中的与非门、JK触发器、或门构成相应芯片串接得到二十四、六十进制的计数器,再由74LS90与74LS08相

连接而得到秒、分、时的进分别进位。 4.译码器:选用BCD锁存译码器4511,接受74LS90来的信号,转换为7段的二进制数。 5.显示模块:由7段数码管来起到显示作用,经过接受CD4511的信号。本次选用的是共阴型的CD4511。 二、各部分电路原理。 三、秒发生器:555电路内部(图2-1)由运放和RS触发器共同组成,其工作原理由8处接VCC,C1处当Uco=2/3Vcc>u11时运放输出为1,同理C2也一样。最终如图3接口就输出矩形波,而形成的秒脉冲。 图2-1 内部结构图

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

课程设计:任务四放大电路及其应用习题

一、选择正确答案填入空内,只需填入A 、B 、C 、D 1.已知图示电路中晶体管的100≈β,Ω≈k 1be r ,在输入电压为有效值等于10mV 的1kHz 正弦信号时,估计输出电压有效值为____。( A .0.5V , B .1V , C .2V , D .5V )。 2k Ω L 2. 放大电路如图所示,已知硅三极管的50=β,则该电路中三极管的工作状态为( )。 3. 放大电路如图所示,已知三极管的05=β,则该电路中三极管的工作状态为( )。 4. 放大电路A 、B 的放大倍数相同,但输入电阻、输出电阻不同,用它们对同一个具有内阻 的信号源电压进行放大,在负载开路条件下测得A 的输出电压小,这说明A 的( )。 A. 输入电阻大 B. 输入电阻小 C. 输出电阻大 D.输出电阻小 5. 关于三极管反向击穿电压的关系,下列正确的是( )。 A. EBO BR CBO BR CEO BR U U U )()()(>> B. EBO BR CEO BR CBO BR U U U )()()(>> A. 截止 B. 饱和 C. 放大 D. 无法确定 A. 截止 B. 饱和 C. 放大 D. 无法确定

C. CEO BR EBO BR CBO BR U U U )()()(>> D. CBO BR CEO BR EBO BR U U U )()()(>> 6. 在三极管放大电路中,下列等式不正确的是( )。 A.C B E I I I += B. ?B C I βI C. CEO CBO I I )1(β+= D. βααβ=+ 7. 图示电路中,欲增大U CEQ ,可以( )。 A. 增大Rc B. 增大R L C. 增大R B1 D. 增大β 8、射极输出电路如图所示,分析在下列情况中L R 对输出电压幅度的影响,选择:2 (1).保持i U 不变,将L R 减小一半,这时o U 将____; (2).保持s U 不变,将L R 减小一半,这时o U 将____。 (A .明显增大, B .明显.减小, C .变化不大) 9、在共射、共集、共基三种组态的放大电路中____的电压放大倍数u A 一定小于1,____的电流放大倍数i A 一定小于1,____的输出电压与输入电压反相。(A .共射组态, B .共集组态, C .共基组态) 10、已知图示电路中晶体管的50≈β,Ω≈k 2be r ,在输入电压为有效值等于10mV 的1kHz 正弦信号时,估计输出电压有效值为________。( A .0.2V , B .0.5V , C .1V , D .2V )

数电实验报告

数字逻辑与数字电路实验报告 实验名称简易迷宫游戏

一、设计课题的任务要求 题目:简易迷宫游戏 设计并实现一个简易迷宫游戏机。 【基本要求】: 1、用8×8 点阵进行游戏显示。 2、迷宫游戏如图1 所示,采用双色点阵显示,其中红色LED 为迷宫墙壁,绿色LED表示人物。通过BTN0~BTN3 四个按键控制迷宫中的人物进行上下左右移动,使人物从起始点出发,走到迷宫的出口,游戏结束。 3、普通计时模式:通过按键BTN7 启动游戏,必须在30 秒内找到出口,否则游戏失败。用两个数码管进行倒计时显示。游戏胜利或者失败均要在8×8 点阵上有相应的画面出现。 4、迷宫中的人物在行走过程中,如果碰到墙壁,保持原地不动。 【提高要求】: 1、多种迷宫地图可以选择。 2、在计时的基础上增加计步的功能,每按一次控制按键步数加1,碰壁不计算步数,计步结果用数码管显示。 3、为游戏增加提示音乐,在不同时间段采用不同频率的信号控制蜂鸣器发声报警。 4、增加其他游戏模式。 5、自拟其它功能。 二、系统设计(包括设计思路、总体框图、分块设计) 设计思路: 依据题目要求,在实验中需要使用到8*8双色点阵输出迷宫图案,使用数码管输出计步步数和倒计时时间,使用蜂鸣器发出警报。由于实验要求需要使用到大量的按键输入。所以需要在输入输出模块中需要按键消抖模块。实验的输出模块共有点阵输出模块,数码管输出模块,蜂鸣器输出模块,在数码管和点阵输出中需要使用到扫描输出的概念。在游戏进行中需要实时判断并且记录人的位置,需要进行记时,计步,所以在整个系统中需要使用状态机进行当前状态转换,控制整个程序。所以在核心实现模块中包括行走模块,状态输出模块,计步模块,计时模块。 输入部分:消抖模块 时钟部分:多级分频器 控制部分:倒计时器,计步器,行走模块,状态机

数字电路实验计数器的设计

数字电路与逻辑设计实验报告实验七计数器的设计 :黄文轩 学号:17310031 班级:光电一班

一、实验目的 熟悉J-K触发器的逻辑功能,掌握J-K触发器构成异步计数器和同步计数器。 二、实验器件 1.数字电路实验箱、数字万用表、示波器。 2.虚拟器件: 74LS73,74LS00, 74LS08, 74LS20 三、实验预习 1. 复习时序逻辑电路设计方法 ①根据设计要求获得真值表 ②画出卡诺图或使用其他方式确定状态转换的规律 ③求出各触发器的驱动方程 ④根据已有方程画出电路图。 2. 按实验内容设计逻辑电路画出逻辑图 Ⅰ、16进制异步计数器的设计 异步计数器的设计思路是将上一级触发器的Q输出作为下一级触发器的时钟信号,置所有触发器的J-K为1,这样每次到达时钟下降沿都发生一次计数,每次前一级 触发器从1变化到0都使得后一级触发器反转,即引发进位操作。 画出由J-K触发器组成的异步计数器电路如下图所示:

使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位 触发器的输出,以及时钟信号。: 可以看出电路正常执行16进制计数器的功能。 Ⅱ、16进制同步计数器的设计 较异步计数器而言,同步计数器要求电路的每一位信号的变化都发生在相同的时间点。

因此同步计数器各触发器的时钟脉冲必须是同一个时钟信号,这样进位信息就要放置在J-K 输入端,我们可以把J-K端口接在一起,当时钟下降沿到来时,如果满足进位条件(前几位触发器输出都为1)则使JK为1,发生反转实现进位。 画出由J-K触发器和门电路组成的同步计数器电路如下图所示 使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位触发器的输出,计数器进位输出,以及时钟信号。:

模电音频功率放大器课程设计

课程设计报告 学生姓名:张浩学学号:201130903013 7 学 院:电气工程学院 班 级: 电自1116(实验111) 题 目: 模电音频功率放大电路设计 指导教师:张光烈职称: 2013 年 7月 4 日

1、设计题目:音频功率放大电路 2、设计任务目的与要求: 要求:设计并制作用晶体管和集成运算放大器组成的音频功率放大电路,负载为扬声器,阻抗8。 指标:频带宽50HZ~20kHZ,输出波形基本不失真;电路输出功率大于8W;输入灵敏度为100mV,输入阻抗不低于47KΩ。 模电这门课程主要讲了二极管,三极管,几种放大电路,信号运算与处理电路,正弦信号产生电路,直流稳压电源。功率放大器的作用是给音响放大器的负载RL(扬声器)提供一定的输出频率。当负载一定时,希望输出的功率尽可能大,输出的信号的非线性失真尽可能小,效率尽可能高。功率放大器的常见电路形式有OTL电路和OCL电路。有用继承运算放大器和晶体管组成的功率放大器,也有专集成电路功率放大器。本实验设计的是一个OTL功率放大器,该放大器采用复合管无输出耦合电容,并采用单电源供电。主要涉及了放大器的偏置电路克服交越失真,复合管的基本组合提高电路功率,交直流反馈电路,对称电路,并用multism软件对OTL 功率放大器进行仿真实现。根据电路图和给定的原件参数,使用multism 软件模拟电路,并对其进行静态分析,动态分析,显示波形图,计算数据等操作。 3、整体电路设计: ⑴方案比较: ①利用运放芯片 LM1875和各元器件组成音频功率放大电路,有保护电路,电源分别接+30v和-30v并且电源功率至少要50w,输出功率30w。 ②利用运放芯片TDA2030和各元器件组成音频功率放大电路,有保护电路,电源只需接+19v,另一端接地,负载是阻抗为8Ω的扬声器,输出功率大于8w。 通过比较,方案①的输出功率有30w,但其输入要求比较苛刻,添加了实验难度。而方案②的要求不高,并能满足设计要求,所以选取方案②来进行设计。 ⑵整体电路框图:

数电实验报告:实验4-计数器及应用161

广东海洋大学学生实验报告书(学生用表) 实验名称 课程名称 课程号 学院(系) 专业 班级 学生姓名 学号 实验地点 实验日期 实验4 计数器及其应用 一、实验目的 1、熟悉中规模集成计数器的逻辑功能及使用方法 2、掌握用74LS161构成计数器的方法 3、熟悉中规模集成计数器应用 二、实验原理 计数器是典型的时序逻辑电路,它是用来累计和记忆输入脉冲的个数.计数是数字系统中很重要的基本操作,集成计数器是最广泛应用的逻辑部件之一。计数器种类较多,按构成计数器中的多触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器;根据计数制的不同,可分为二进制计数器、十进制计数器和任意进制计数器;根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等。本实验主要研究中规模十进制计数器74LS161的功能及应用。 1、中规模集成计数器 74LS161 是四位二进制可预置同步计数器,由于它采用4 个主从JK 触发器作为记忆单元,故又称为四位二进制同步计数器,其集成芯片管脚如图1所示: 管脚符号说明:电源正端Vcc ,接+5V ;异步置零(复位)端Rd ;时钟脉冲CP ;预置数控制端 A 、B 、C 、D ;数据输出端 QA 、QB 、QC 、QD ;进位输出端 RCO :使能端EP ,ET ;预置端 LD ; 图1 74LS161 管脚图 GDOU-B-11-112

该计数器由于内部采用了快速进位电路,所以具有较高的计数速度。各触发器翻转是靠时钟脉冲信号的正跳变上升沿来完成的。时钟脉冲每正跳变一次,计数器内各触发器就同时翻转一次,74LS161的功能表如表1所示: 表1 74LS161 逻辑功能表 2、实现任意进制计数器 由于74LS161的计数容量为16,即计16个脉冲,发生一次进位,所以可以用它构成16进制以内的各进制计数器,实现的方法有两种:置零法(复位法)和置数法(置位法)。 (1) 用复位法获得任意进制计数器假定已有N进制计数器,而需要得到一个M进制计数器时,只要M<N,用复位法使计数器计数到M时置“0”,即获得M进制计数器。 (2) 利用预置功能获M进制计数器置位法与置零法不同,它是通过给计数器重复置入某个数值的的跳越N-M个状态,从而获得M进制计数器的,如图所法。置数操作可以在电路的任何一个状态下进行。这种方法适用于有预置功能的计数器电路。图2是上述二种方法的原理示意图。 图2(a) 图2(b) 三、实验内容与步骤 1、测试74LS161的逻辑功能。 2、在熟悉74LS161逻辑功能的基础上,利用74LS161设计9进制计数器。 附图74ls00和74ls20

数电课程设计报告数字钟的设计

数电课程设计报告数字钟的设计

数电课程设计报告 第一章设计背景与要求 设计要求 第二章系统概述 2.1设计思想与方案选择 2.2各功能块的组成 2.3工作原理 第三章单元电路设计与分析 3.1各单元电路的选择 3.2设计及工作原理分析 第四章电路的组构与调试 4.1遇到的主要问题 4.2现象记录及原因分析 4.3解决措施及效果 4.4功能的测试方法,步骤,记录的数据 第五章结束语 5.1对设计题目的结论性意见及进一步改进的意向说明5.2总结设计的收获与体会 附图(电路总图及各个模块详图) 参考文献

第一章设计背景与要求 一.设计背景与要求 在公共场所,例如车站、码头,准确的时间显得特别重要,否则很有可能给外出办事即旅行袋来麻烦。数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确度和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。数字钟是一种典型的数字电路,包括了组合逻辑电路和时序电路。 设计一个简易数字钟,具有整点报时和校时功能。 (1)以四位LED数码管显示时、分,时为二十四进制。 (2)时、分显示数字之间以小数点间隔,小数点以1Hz频率、50%占空比的亮、灭规律表示秒计时。 (3)整点报时采用蜂鸣器实现。每当整点前控制蜂鸣器以低频鸣响4次,响1s、停1s,直到整点前一秒以高频响1s,整点时结束。 (4)才用两个按键分别控制“校时”或“校分”。按下校时键时,是显示值以0~23循环变化;按下“校分”键时,分显示值以0~59循环变化,但时显示值不能变化。 二.设计要求 电子技术是一门实践性很强的课程,加强工程训练,特别是技能的培养,对于培养学生的素质和能力具有十分重要的作用。在电子信息类本科教学中,课程设计是一个重要的实践环节,它包括选

数电实验实验报告

数字电路实验报告

实验一 组合逻辑电路分析 一.试验用集成电路引脚图 74LS00集成电路 74LS20集成电路 四2输入与非门 双4输入与非门 二.实验内容 1.实验一 自拟表格并记录: 2.实验二 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。否则,报警信号为“1”,则接通警铃。试分析密码锁的密码ABCD 是什么? X1 2.5 V A B C D 示灯:灯亮表示“1”,灯灭表示“0” ABCD 按逻辑开关,“1”表示高电平,“0”表示低电平

ABCD 接逻辑电平开关。 最简表达式为:X1=AB ’C ’D 密码为: 1001 A B C D X1 X2 A B C D X1 X2 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 1 1 0 0 1 1 0 0 0 1 0 0 1 1 0 1 0 0 1 0 0 1 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 1 0 1 1 1 1 1 1 1 1 1 三.实验体会: 1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。 2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片 ,和使用仿真软件来设计和构造逻辑电路来求解。 实验二 组合逻辑实验(一) 半加器和全加器 一.实验目的 1. 熟悉用门电路设计组合电路的原理和方法步骤 二.预习内容 1. 复习用门电路设计组合逻辑电路的原理和方法步骤。 2. 复习二进制数的运算。 3. 用“与非门”设计半加器的逻辑图。 4. 完成用“异或门”、“与或非”门、“与 非”门设计全加器的逻辑图。 5. 完成用“异或”门设计的3变量判奇 电路的原理图。 三.元 件参考 U1A 74LS00D U1B 74LS00D U1C 74LS00D U1D 74LS00D U2A 74LS00D U2B 74LS00D U2C 74LS00D U3A 74LS20D X1 2.5 V X2 2.5 V VCC 5V A B C D

数电课程设计 数字电子钟

数字电子技术课程设计 数字电子钟 指导老师: 小组成员:

目录 摘要 (3) 第一节系统概述 (4) 第二节单元电路设计与分析 (6) 第三节电路的总体设计与调试 (11) 第四节设计总结 (13) 附录部分芯片功能参数表 (14) 参考文献 (17)

摘要 数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 关键词振荡器、计数器、译码显示器、Multisim

第一节系统概述 数字电子钟是由多块数字集成电路构成的,其中有振荡器,分频器,校时电路,计数器,译码器和显示器六部分组成。振荡器和分频器组成标准秒信号发生器,不同进制的计数器产生计数,译码器和显示器进行显示,通过校时电路实现对时,分的校准。 1.1实验目的 1).掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法; 2).进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力; 3).提高电路布局﹑布线及检查和排除故障的能力; 4).培养书写综合实验报告的能力。 1.2 主要内容 熟悉Multisim10.0仿真软件的应用;设计一个具有显示、校时、整点报时和定时功能的数字时钟,.能独立完成整个系统的设计;用Multisim10.0仿真实现数字时钟的功能。 1.3 系统设计思路与总体方案 数字时钟基本原理的逻辑框图如下所示:

音频功率放大电路课程设计报告

, 课程设计 课程名称_模拟电子技术课程设计 题目名称音频功率放大电路 $ 学生学院 专业班级 学号 学生姓名__ 指导教师 : 2010 年 6 月 20 日

— 音频功率放大电路课程设计报告 一、设计题目 题目:音频功率放大电路 二、设计任务和要求 ` 1)设计任务 设计并制作一个音频功率放大电路(电路形式不限),负载为扬声器,阻抗8Ω。 2)设计要求 频带宽50H Z ~20kH Z ,输出波形基本不失真;电路输出功率大于8W; 输入灵敏度为100mV,输入阻抗不低于47KΩ。 三、原理电路设计 功率放大电路: % 功率放大电路通常作为多级放大电路的输出级。功率放大器的常见电路形式有OTL电路和OCL电路。在很多电子设备中,要求放大电路的输出级能够带动某种负载,例如驱动仪表,使指针偏转;驱动扬声器,使之发声;或驱动自动控制系统中的执行机构等。也就是把输入的模拟信号经被放大后,去推动一个实际的负载工作,所以要求放大电路有足够大的输出功率,这样的放大电路统称为功率放大电路。而音频功率放大器的作用就是给音响放大器的负载RL(扬声器)提供一定的输出功率。当负载一定时,希望输出的功率尽可能大,输出的信号的非线形失真尽可能地小,效率尽可能的高。随着半导体工艺,技术的不断发展,输出功率几十瓦以上的集成放大器已经得到了广泛的应用。功率VMOS管的出现,也给功率放大器的发展带来了新的生机。总之,功率放大器的主要任务是向负载提供较大的信号功率,故功率放大器应具有以下几个主要特点: 1. 输出功率要足够大 工作在大信号状态下,输出电压和输出电流都很大.要求在允许的失真条件下,

数电实验报告实验六计数译码显示综合实验整理版.docx

数电实验报告 实验六 计数、译码、显示综合实验 姓名: 学号: 班级: 院系: 指导老师: 2016年

目录 实验目的: (22) 实验器件与仪器: (22) 实验原理: (33) 用同步清零端或置数端置零或置数构成N进制计数器 (33) 用同步清零端或置数端置零或置数构成N进制计数器 (33) 实验内容: (44) 实验过程: (55) 实验总结: (66) 实验: 实验目的: 1.熟悉中规模集成电路计数器的功能及应用。 2.熟悉中规模集成电路译码器的功能及应用。 3.熟悉LED数码管及显示电路的工作原理。 4.学会综合测试的方法。 实验器件与仪器: 1.实验箱、万用表、示波器。

2.74LS160、74LS48、74LS20 实验原理: 对于计数规模小的计数器,我们使用集成触发器来设计计数器,但是如果计数器的模数达到十六以上(如六十进制)时,如果还是用集成触发器来设计的话,电路就比较复杂了。在这种情况下,我们可以用集成计数器来构成任意进制计数器。利用集成计数器的清零端和置数端实现归零,从而构成按自然态序进行计数的N进制计数器的方法。 用同步清零端或置数端置零或置数构成N进制计数器用这种方法的实现步骤如下: 1)写出状态S N-1的二进制代码。 2)求归零逻辑,即求同步清零端或置数控制端信号的逻辑表达式 3)画连线图 用同步清零端或置数端置零或置数构成N进制计数器用这种方法的实现步骤如下: 1)写出状态S N得二进制代码 2)求归零逻辑,即求异步清零端或置数控制端信号的逻辑表达式

3)画连线图 在集成计数器中,清零、置数均采用同步方法的有74LS163;均采用异步方法的有74LS193、74LS197、74LS192;清零采用异步方法、置数采用同步方法的有74LS161、74LS160;有的只具备异步清零功能,如CC4520、74LS190、74LS191;74LS90则具有异步清零和异步置9功能。 实验内容: 1.用集成计数器74LS160分别组成8421码十进制和六进制计数器, 然后连接成一个60进制计数器(6进制为高位,10进制位低位)。 使用实验箱上的LED译码显示电路显示(注意高低位顺序及最高位的处理)。用函数发生器的低频连续脉冲(调节频率为1-2Hz)作为计数器的计数脉冲。通过数码管观察计数、译码、显示电路的功能是否正确。 2.设计一个时间计数器,具有分钟和秒计时功能的计数器。

数电课程设计数字电子时钟样本

数字逻辑课程设计说明书 题目: 多功能数字钟 专业: 计算机科学与技术 班级: 姓名: 学号: 完成日期: -9 一、设计题目与要求

设计题目: 多功能数字钟 设计要求: 1.准确计时, 以数字形式显示时、分、秒的时间。 2.小时的计时能够为”12翻1”或”23翻0”的形式。 3.能够进行时、分、秒时间的校正。 二、设计原理及其框图 1.数字钟的构成 数字钟实际上是一个对标准频率?( 1HZ) 进行计数的计数电路。由于计数的起始时间不可能与标准时间( 如北京时间) 一致, 故需要在电路上加一个校时电路。图 1 所示为数字钟的一般构成框图。 图1 数字电子时钟方案框图

⑴多谐振荡器电路 多谐振荡器电路给数字钟提供一个频率1Hz 的信号, 可保证数字钟的走时准确及稳定。 ⑵时间计数器电路 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成。其中秒个位和秒十位计数器、分个位和分十位计数器为60 进制计数器。而根据设计要求, 时个位和时十位计数器为24 进制计数器。 ⑶译码驱动电路 译码驱动电路将计数器输出的8421BCD 码转换为数码管需要的逻辑状态, 而且为保证数码管正常工作提供足够的工作电流。 ⑷数码管 数码管一般有发光二极管( LED) 数码管和液晶( LCD) 数码管。本设计提供的为LED数码管。 2.数字钟的工作原理 ⑴多谐振荡器电路 555 定时器与电阻R1、 R2, 电容C1、 C2 构成一个多谐振荡器, 利用电容的充放电来调节输出V0, 产生矩形脉冲波作为时钟信号, 因为是数字钟, 因此应选择的电阻电容值使频率为1HZ。 ⑵时间计数单元 六片74LS90 芯片构成计数电路, 按时间进制从右到左构成从低位向高位的进位电路, 并经过译码显示。在六位LED 七段显示起

语音放大电路课程设计

辽宁工业大学电子技术基础课程设计(论文) 题目:语音放大电路

课程设计(论文)任务及评语 1

第 1 章语音放大电路设计方案论证 (1) 1.1 语音放大电路的应用意 义 (1) 1.2 语音放大电路设计的要求及技术指标................................... 1 1.3 设计方案论 证 (1) 1.4 总体设计方案框图及分析............................................. 2 第 2 章语音放大电路各单元电路设计.. (3) 2.1 前置放大电路的设 计 (3) 2.2 滤波电路的设 计 (4) 2.3 功率放大电路的设计.................................................5 第3 章语音放大电路整体电路设计. (7) 3.1 整体电路图及工作原 理 (7)

3.2 电路参数计算及整机电路性能分析.....................................9 第 4 章设计总结...........................................................9 参考文献.. (9) 附录:器件清单 (10) 2

第1 章语音放大电路设计方案论证 1.1语音放大电路的应用意义 在电子电路中,输入信号常常受各种因素的影响。即含有一些不必要的成分(即干扰),或者输入信号是不同频率信号混合在一起的信号,对前者应设法将不必要的成份衰减到足够小,而后者应设法将需要的信号提取出来。这时我们就需要一种技能放大语音信号又能降低外来噪声的仪器。 1.2语音放大电路设计的要求及技术指标 设计要求: 1. 分析设计要求,明确性能指标。必须仔细分析课题要求、性能、指标及应用环境 等,广开思路,构思出各种总体方案,绘制结构框图。 2. 确定合理的总体方案。对各种方案进行比较,以电路的先进性、结构的繁简、成 本的高低及制作的难易等方面作综合比较,并考虑器件的来源,敲定可行方案。 3. 设计各单元电路。总体方案化整为零,分解成若干子系统或单元电路,逐个设计。 4. 组成系统。在一定幅面的图纸上合理布局,通常是按信号的流向,采用左进右出 的规律摆放各电路,并标出必要的说明。 技术指标:采用全部或部分分立元件设计一种语音 放大电路额定输出功率P o≥5W 负载阻抗R L=4Ω频率响 应:300HZ~3KHZ 1.3设计方案论证 语音放大电路主要有信号输入、前置放大电路、有源带通滤波电路、功率放大电路和输出组成。 1.3.1 前置放大电路 前置放大电路以为测量用小信号放大电路。在测量用的放大电路中,一般传感器送 1

北邮-数电实验报告

北邮-数电实验报告

数字电路实验报告 学院:信息与通信工程 专业:信息工程 班级:2013211125 学号:2013210681 姓名:袁普

②:仿真波形图以及分析 波形图: 波形分析:通过分析ab ci三个输入在8中不同组合下的输出,发现与全加器的真值表吻合,说明实现了全加器的逻辑功能。同时看见波形中出现了毛刺(冒险),这也与事实一致。 ③:故障及问题分析 第一次在做全加器的时候发现找不到已经生成的半加器模块,后来发现是因为在建立工程时这两个项目没有建在同一个文件夹里,在调用的时候就找不到。后来我将全加器工程建在同一个文件夹里解决了此问题。

实验二:用VHDL设计和实现组合逻辑电路 一:实验要求 ①:用VHDL设计一个8421码转换为格雷码的代码转换器,仿真验证其功能。 ②:用VHDL设计一个4位二进制奇校验器,要求在为奇数个1时输出为1,偶数个1时输出为0,仿真验证其功能。 ③:用VHDL设计一个数码管译码器,仿真验证其功能,下载到实验板测试,要求用拨码开关设定输入信号,数码管显示输出信号,并且只使一个数码管有显示,其余为熄灭状态。 二:故障及问题分析 在刚开始实现让一个数码管显示的时候,我本来准备再设置6个输入和输出,通过实验板上的拨码来输入信息分别控制不同的数码管的的开闭状态,但是后来发现这样效率很低而且实验板上的拨码开关数量根本不够。在老师的提醒下,我最终在VHDL里直接增加了一个向量输出”011111”来直接控制cat0~5六个管脚,从而达到了实验的要求。

实验三:用VHDL设计和实现时序逻辑电路 一:实验要求 ①:用VHDL语言设计实现一个8421十进制计数器,要求有高电平复位功能,仿真验证其功能。 ②:用VHDL语言设计实现一个分频系数为12,输出为占空比50%方波的分频器,有高电平复位功能,仿真验证其功能。 ③:将(1),(2)和数码管译码器三个电路进行连接,仿真验证其功能,并下载到实验板进行测试,要求第三个数码管显示数字。二:报告内容 ①实验三(3)模块端口说明及模块代码 模块一:div12为一个有高电平复位功能的分频系数为12的分屏器,其输出是一个占空比50%的方波。此模块输入连接一个时钟输入,即可在输出端得到一个周期更大的方波输出。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity div12 is port( clear,clk:in std_logic; clk_out:out std_logic ); end div12; architecture struct of div12 is signal temp:integer range 0 to 5; signal clktmp:std_logic; begin process(clk,clear) begin if(clear='1') then

数电实验 计数器电路

实验5 计数器实验电路 1实验目的 1.1掌握计数器的工作原理及特性 1.2采用触发器及集成计数器构成任意进制计数器 2实验仪器与元器件 2.1实验仪器 数字电路实验箱、数字万用表、示波器 2.2芯片 74LS00/74ls04 74LS48 74LS161 共阴数码管电位器电阻等其它元件若干 3预习要求 3.1 预习计数器相关内容。 3.2 作出预习报告。 4实验原理 计数器是用来实现计数功能的时序部件,它能够计脉冲数,还可以实现定时、分频、产生节拍脉冲和脉冲序列等。计数器的种类很多,按时钟脉冲输入方式的不同,可以分为同步计数器和异步计数器。按进位体制不同,可以分二进制和非二进制计数器。按计数的增减趋势,可分加法或减法计数器等。目前,无论是TTL还是CMOC集成电路,都有品种齐全的中规模集成计数电路。作为使用者可以借助器件手册提供的功能表和工作波形以及引脚分布图,就能正确地使用这些器件。 4.1异步计数器

异步计数器是指计数脉冲不是直接加到所有触发器的时钟脉冲端。这样, 当一个计数脉冲作用后,计数器中某些触发器的状态发生变化,而其它触发器保持原来状态,即计数器中各触发器状态的更新与输入时钟脉冲异步。 在设计模为整数N 的异步计数器时,如果K N 2=,则为二进制计数器,例 如设计一个4位二进制计数器,1624==N ,K=4,用4个触发器级联即可。如果N 不等于2的整次幂,则是非二进制计数器,这时,可将N 写N=1*2N K 其中1N 为奇数,这样由模为K 2和模为1N 的两个计算器级联而成,其中模为1N 的计数器通常用反馈的方法构成.例如设计一个异步十进制计数器,可令 K 2=12,1N =5,就是用一个模2计数器和一个模5计数器级联.图7.1所示集成 接在各位触发器的时钟脉冲输入端,当计数脉冲来到时,应该翻转的触发器在同一时刻翻转。因此,同步计数器的工作速度比异步计数器快。同步计数器的设计可按“状态表+卡诺图+写出各触发器控制输入端的逻辑方程”,进行,然后画出逻辑电路。也可以根据状态表中各触发器输出的变化规律,直接写出各触发器控制输入端的逻辑方程,最后画出逻辑电路图。例如设计一个同步十进制加法计数器,其状态转换表如表7.1所示。采用双JK 触发器74LS76,通过分析状态转换表,可得到各触发器控制输入端的逻辑方程如下。

多级放大电路课程设计报告..

电子课程设计报告 题目:多级放大电路 姓名: 年级专业:2010电信(双学位)指导老师 计算机与信息学院电信专业 2011年7月2日

摘要 【摘要内容】在我们日常生活和科学研究等工作中,常常会遇到放大电路。这些放大电路的形式不通,性能指标也不同,使用的元器件也不相同,但它们都是用来进行信号的放大,其基本工作原理都是一样的。在这些放大电路中,单管放大电路时构成各种复杂电路的基本单元。本文以几个简单的放大电路为例,介绍放大电路的组成原理、工作原理、性能指标及计算方法。 本着从简单到复杂的分析思想逐步对电路进行剖析,化整为零,化零为整分析电路的工作原理和各个放大登记的输入输出电阻和静态工作点。通过这次设计的思考和查阅资料我不仅对放大电路有了深一层的认识还对功率放大器有了更深的学习。通过此次研究加深在放大电路上的理解,使其在工作学习中运用的更加熟练。 【关键词】:放大电路原理;多级放大电路的概述;运行参数,放大倍数,静态工作点,输入、输出电阻;

目录 摘要 (2) 第一章放大电路基础 (3) 1.1 第一种类型的指标:.............................................................................................. ..4 1.2 第二种类型的指标.................................................................................................. ..6 1.3 第三种类型的指标:.............................................................................................. ..6 第二章基本放大电路 .. (7) 2.1 BJT 的结构 (7) 2. 2 BJT的放大原理 (8) 第三章多级放大电路 (9) 3.1 多级放大电路的概述 (9) 3.2 耦合形式 (9) 3.3 放大电路的静态工作点分析 ............................................................................... . (11) 3.4 设计电路的工作原理 (12) 3.5 计算参数 .......................................................................................................... .. (13) 总结......................................................................................................................... (14) 参考文献 ................................................................................................................ (14)

24秒篮球倒计时数电实验报告

24秒篮球倒计时数电实验报告

法商学院 《数字电路课程设计》 课程设计报告 专业: 应用电子技术 班级: 应电11301 姓名: 周灵 姓名: 李雄威 指导教师:沈田

课程设计任务书 设计题目:篮球竞赛24秒倒计时器 设计任务与要求: 设计一个篮球竞赛24秒倒计时电路,该电路能实现如下功能: 1)24秒倒计时显示功能; 2)设置外部控制开关,控制计数器的重置“24”、启动和暂停功能; 3)计数器递减至0(即时间到)时,数码管显示“00”,同时发出光电报警信号。 一、电路设计原理 经过对电路功能的分析,整个电路主要由控制电路、秒脉冲信号发生器、计数器、译码器和报警电路五个部分组成。示意图如图1所示。其中计数器和控制电路是系统的主要模块。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不是太高,故电路可采用555集成电路或由TTL与非组成的多谐振荡器构成。主体电路:24秒倒计时。24秒计数芯片的置数端清零端共用一个开关,比赛开始后,24秒的置数端无效,24秒的倒数计时器开始进行倒计时,逐秒倒计一之到零。选取“00”这个状态,通过组合逻辑电路给出截断信号,让该信号与时钟脉冲在与门中将时钟截断,使计时器在计数到零时停止。

图1-1 24秒计时器系统设计框图 二、单元电路分析 (一)控制电路 控制电路由74LS00芯片和74LS10芯片组成,实现计数器的复位、计数和保持“24”数字显示,以及报警的功能。如图2-1-1为EWB控制电路仿真图。 图2-1-1 EWB控制电路仿真图 (1)开关A:启动按钮、复位按钮 开关A接地时,计数器保持“24”状态不变,处于等待状态; 当开关A闭合时,计数器开始计时,当计数器递减计数到零时,控制电路产生报警信号; 当开关A再次接地时,计数器立即复位到预置数值,即“24”。 (2)开关B:归零按钮 当开关B接高电平时,不管计数器显示任何数值,计数器立即归零,即“00”。(3)开关C:暂停按钮 当暂停/连续开关(开关C)暂停时,计数器暂停计数,显示器保持不变; 当暂停/连续开关(开关C)处于连续时,计数器继续倒计时计数。 (二)秒脉冲发生器 为了给计数器74LS192提供一个时序脉冲信号,使其进行减计数,本设计采用555构成的多谐振荡电路(即脉冲产生电路),其基本电路如图2-2-2。

相关主题
文本预览
相关文档 最新文档