当前位置:文档之家› (完整版)实验室人数统计数电课设

(完整版)实验室人数统计数电课设

(完整版)实验室人数统计数电课设
(完整版)实验室人数统计数电课设

沈阳航空航天大学

课程设计

(说明书)

实验室人数统计电路的设计

班级

学号

学生姓名

指导教师

沈阳航空航天大学

课程设计任务书

课程名称数字逻辑课程设计

课程设计题目实验室人数统计电路的设计

课程设计的内容及要求:

一、设计说明

设计一个利用光线的通断来统计实验室人数的电路。

设计两路光控电路,一路放置在门外,另一路设置在门里,当有人通过门口时(无论是进入或是走出房间),都会先触发一个光控电路,再触发另一个光控电路。要求根据光控电路产生触发的先后顺序,判断人员是进入还是离开实验室,当有人进入时令计数器进行加计数,当有人离开实验室时进行减计数。其电路原理框图如图1所示。它由光电控制电路,脉冲整形电路,控制逻辑电路,计数器电路,译码显示电路等组成。

图1 实验室人数统计电路原理框图

二、技术指标

计数器的最大计数容量为99,并用数码管显示。

三、设计要求

1.在选择器件时,应考虑成本。

2.有手动复位(清零)功能。

3.要求计数器每计一个数,发光二极管指示灯闪烁一次(或蜂鸣器响一次)。

4.可选元件:(1)红外发光二极管和光电三极管(对管); (2)集成译码显示电路74LS47或其它;(3)可逆计数器74LS190或者74LS192;(4)LED数码管;(5)555定时器;(6)发光二极管等。

四、实验要求

1.根据技术指标制定实验方案;验证所设计的电路。

2.进行实验数据处理和分析。

五、推荐参考资料

1.谢自美. 电子线路设计·实验·测试. [M]武汉:华中理工大学出版社,2000年

2.阎石. 数字电子技术基础. [M]北京:高等教育出版社,2006年

3. 付家才. 电子实验与实践. [M]北京:高等教育出版社,2004年

六、按照要求撰写课程设计报告

成绩评定表:

指导教师签字:

2015 年 6 月25 日

一、概述

本次课设的任务是实验室人数统计电路的设计,要求我们运用理论课上学到的知识来完成人数统计的电路设计,让使用者可以通过这个电路了解实验室内的总人数和人员进出的变化情况,然后根据这些数据做出反应。对任何知识的掌握程度都取决于对其认识的程度,纸上得来终觉浅,绝知此事要躬行,只有自己动手设计电路才能较好的理解基础知识和基本技能。在查阅资料之后,本次实验设计首先用红外线感应电路感应实验人员的进出,有人进入或离开时依次触发两个光电电路,继而根据光电电路显示的人员进出的情况用控制逻辑电路发出脉冲,使用加减计数器实现加减计数功能,即有人进入时令计数器进行加计数,当有人离开实验室时进行减计数,同时伴随着人数加减的数码管显示还有灯光或者蜂鸣报警电路提示,最后把总人数结果通过数码管反映。

现代人类社会已经进入信息时代,因而信息技术对社会发展,科学进步将起到决定性作用。为了达到节省人力资源的目的,避免人工的繁琐计算,使用数字逻辑电路是一个极其方便的方法用以记录出的人数和入的人数以及总人数。这次课程设计是一个对我们实践能力的检验,同时也是一个机会,让我们深入了解人数计数器的组成和如何让计数报警的功能实现,人数计数器在超市、商场、酒店、实验室等大多数人类活动场所都能用到,所有它对于当今社会具有重大的意义。

二、方案论证

方案一:方案一原理框图如图1所示。

图1方案一原理框图

实验室人数统计电路由模拟光电感应电路、控制逻辑电路、计数译码显示电路、和声光报警电路四部分组成。现实中用红外线感应器感应人进出,由红外感应器产生信号跳变,但是由于在实验条件下无法真正实现人进人出的效果,所以用开关模拟光电感应电路代替红外线感应器,根据开关高低电平变化模拟出有人进出的效果,连接双D触发器将脉冲

发送给声、光报警电路进行提醒和计数译码电路进行加减、译码,最后由数码管显示人数。

方案二:方案二原理框图如图2所示。

图2方案二原理框图

由单片机、红外发射器、红外接收器、显示译码电路、声光报警电路组成,在门里门外各放一组红外感应器,当有人进出时红外感应器产生信号跳变反映到单片机上,单片机进行计数然后通过蜂鸣器或二级管实现声光报警功能,通过数码管显示人数。

本实验采用方案一。由于我们还没有学习过单片机,对其各种功能和性能参数并不了解,所以方案二并不可行。方案一的器件我们相对熟悉,而且价格相对低廉,电路连接比较容易,实验效果也证明它正确可行,所以在这个实验里选用方案一进行电路设计。

三、电路设计

1.光电传感器电路的设计

光电传感器电路的设计如图3所示。

图3光电传感器电路的设计

实际情况中应该用红外线感应器来感应人进出实验室的情况,光控电路用于将光信号转换为系统所需的电信号,双D触发器的两个输入端各需要一个红外线发射器与红外线接收器。当人没有经过红外线感应器时,红外线发射管LED-RED-RATED将红外线发射给接收管PHOTO-TRANSISTO-RATED,接收管导通,集电极为低电平;当有人经过后,红外线发射管发射的红外线被遮挡,接收管接收不到红外线,所以接收管不导通,集电极为高电平,并且将高电平信号发送给双D触发器,双D触发器将脉冲发送给声、光报警电路进行提醒和计数译码电路进行加减和译码。而仿真情况下无法用红外线感应器来实现实验目的,所以用两个开关代替。

2.人进人出控制电路的设计

人进人出控制电路的设计如图4所示。

图4人进人出控制电路的设计

因为仿真软件multism没有红外感应器,所以用开关模拟光电感应。开关模拟光电感应电路中S1是代表门外的开关,S2是代表门内的开关,初始都置于低电平,有人进入,S1先变成高电平,然后S2变成高电平,人经过后S1,S2再依次变成低电平;有人离开就正好相反,S2变成高电平,然后S1变高电平,人离开之后,S2,S1再依次变成低电平。

从S1、S2电平的变化可以得知人员是进是出。

人员进出实验室的时序逻辑图如图5所示。图(a)为人员进门时的时序逻辑图,图(b)为人员出门时的时序逻辑图。

S2

(a)人员进门时序逻辑图(b)人员出门时序逻辑图

图5人员进出实验室的时序逻辑图

4013BP_5V 双D触发器是集成触发器芯片,内部有两个独立的D触发器。每个D触发器都有一个置位端(SET),复位端(RST),时钟端(CLOCK),数据输入端(D),两个输出端Q 和~Q端。当RST为1、SET为0时,无论D和CLK(时钟信号)为哪种状态,输出Q一定为0,因此RST可称为复位端。当SET为1、RST为0时,输出Q一定为1,因此SET称为置位端。当RST、SET均为0时,在ClK端有脉冲上升沿触发,即Q=D。

接线时,两个触发器的置位端(SET)均接地,输入端(D)都接高电平,时钟端1CLK 和复位端2RST接开关S1,时钟端2CLK和复位端1RST接开关S2,输出端Q1 、Q2分别接LED1、LED2两个二极管,~Q1端接的UP端,~Q2接U2的DOWN端。LED1接双D触发器的Q1端,LED2接双D触发器的Q2端。

当有人进入室内时,S1变为高电平,连接的1CLK和2RST也变成高电平,随后S2变为高电平,连接的2CLK和1RST也变成高电平,然后S1、S2依次变为低电平。因为双D 触发器的两个触发器的置位端(SET)均接地,所以在时钟上升沿触发,将加在D1输入端的高电平传送到Q1输出端,由Q1传给LED1,二极管LED1亮,同时~Q1端把高电平传给加减计数器的UP端,~Q2输出低电平给加减计数器的DOWN端,实现计数器加一。

当有人从室内离开时,S2变为高电平,连接的2CLK和1RST也变成高电平,因为双D触发器的两个触发器的置位端(SET)均接地,所以在时钟上升沿触发,将加在D2输入端的高电平传送到Q2输出端,由Q2传给发光二极管LED1,二极管LED1亮,同时~Q2端把高电平传给加减计数器的down端,~Q1输出低电平给加减计数器的UP端,实现计数器减一。

3.计数译码显示电路的设计

计数译码显示电路的设计如图6所示。

图6计数译码显示电路的设计图

计数器74LS192N是十进制可预置同步可逆BCD码计数器,它具有双时钟输入,并具有清除和置数功能,在本实验中作为加减计数器使用。使用两个74LS192N计数器U2、U3分别计算个位和十位,74192N上升沿触发,由UP,DOWN两管脚控制加减计数,UP端为增计数脉冲输入,DOWN端为减计数脉冲输入,有异步置数端LOAD和异步复位端CLR,借位输出端BO’和进位输出端CO’分别输出高电平表示加进位和减进位。

接线时,异步置数端LOAD为加载,低电平有效,在本电路中接高电平。两个异步复

位端CLR接单刀双掷开关S3,当开关S3接高电平时,计数器实现清零,其他操作被禁止。BO为借位输出端接着十位的DOWN,用于发出退位信号,CO为进位输出端接着十位的UP,用于发出进位信号,实现两位数的加减运算。

译码器74LS48N芯片是一种常用的七段数码管译码器驱动器,用在数字电路的显示系统中,由于74LS48N输出高电平有效所以选用共阴极数码管。

接线时,U4的输入端ABCD接U2的输出端QAQBQCQD,LT’,RBI’,BI/RBO低电平有效接高电平。U5的输入端ABCD接U3的输出端QAQBQCQD,LT’,RBI’,BI/RBO接高电平,U4、U5输出端分别接代表个位、十位的数码管,另外用两排阻值为680欧的电阻排接高电平连接U4、 U5为晶体管提供电流,从而实现两位数字的计数结果显示。

四、性能的测试

电路整体测试图如图7所示。

图7电路整体测试图

用开关S1、S2模拟光电感应,S1代表人经过门外,S2代表人经过门内,开关S1、S2开始都置于低电平,有人进入,S1先变成高电平,然后S2变成高电平,人经过后S1,S2再依次变成低电平;有人离开就正好相反,S2变成高电平,然后S1变高电平,人离开

之后,S2,S1再依次变成低电平。当一个周期内S1先变成高电平,就说明进来一个人所以计数器加一,当一个周期内S2先变成高电平,就说明出来一个人计数器减一。当S3开关接高电平时,数据清零。所以,此电路能实现题目要求的所有功能。

电路整体性能测试表如表1所示。

表1 电路整体性能测试表

电路原来的状态是00,用开关模拟有人进门的效果之后,数码管示数加一,发光二极管LED1亮了;用开关模拟有人出门的效果之后,数码管示数减一,发光二极管LED2亮了,测试结果符合题目要求。

当有人进入时,示波器显示的波形图如图8所示。

图8有人进入时示波器显示的波形图

有人进入时示波器显示的波形图,上面一道线为Q1,下一道线为Q2。有人进入即先把S1变为高电平,连接的1CLK和2RST也变成高电平,因为双D触发器的两个触发器的置位端(SET)均接地,此时1SET和1CLK为0,所以在时钟上升沿触发状态为Q=D,将加在D1输入端的高电平传送到Q1输出端,Q1跳转为高电平。

当有人离开时,示波器显示的波形图如图9所示。

图9有人离开时示波器显示的波形图

有人时示波器显示的波形图,上面一道线为Q1,下一道线为Q2。有人进入即先把S1变为高电平,连接的2CLK和1RST也变成高电平,因为双D触发器的两个触发器的置位端(SET)均接地,此时2SET和2CLK为 0,所以在时钟上升沿触发状态为Q=D,将加在D2输入端的高电平传送到Q2输出端,Q2跳转为高电平。

五、结论

本次课程设计题目为“实验室人数统计电路的设计”,要求设计一个利用光线的通断来统计实验室人数的电路。它要求计数器的最大计数容量为99,并用数码管显示结果,并根据光控电路产生触发的先后顺序,判断人员是进入还是离开实验室,然后由可逆计数器进行加减,计数器每计一个数,发光二极管指示灯闪烁一次,计数结果由数码管显示。有手动复位(清零)功能,当 S3被接到高电平时数据清零,数码管显示为零,由仿真电

路的测试结果可以看出,以上功能全部实现,所以此电路能够进行实验室人数统计。

在连接电路的过程中,由于对一下器件不熟悉,我查阅了一些资料,例如肖景和编著的CMOS数字电路应用300例,从这本书里知道了双D触发器4013的功能和电路连接方式以及其他芯片的功能和引脚连接方式。所以在这段时间的课程设计中我收益颇丰,对数字电子计数的理解又更上一层楼。

在这次设计过程中,暴露出自己单独设计电路的许多问题,体会到了学以致用、完成自己劳动成果的心情,感谢老师和同学们的帮助和指正使我顺利完成本次课程设计。总之,这次课程设计提高了我思考问题解决问题的能力,对以后的工作来说是一个训练。

参考文献

[1] 章忠全.电子技术基础.[M]北京:国防工业出版社,2008年

[2] 阎石主编.数字电子技术基础. [M]北京:高等教育出版社,2006年

[3] 付家才.电子实验与实践. [M]北京:高等教育出版社,2004年

[4] 肖景和编著.CMOS数字电路应用300例.[M]北京:中国电力出版社,2005年

[5] 谢自美.电子线路设计·实验·测试. [M]武汉:华中理工大学出版社,2000年

[6 ] 康华光. 电子技术基础(数字部分.[M]北京:高等教育出版社,1998年

[7] 王毓银. 数字电路逻辑设计. [M]北京:高等教育出版社,1995年

[8] 钱培怡. 电子电路实验与课程设计. [M]北京:西安电子科技大学出版社,1992年

[9] 余孟尝. 数字电子技术基础. [M]北京:高等教育出版社,1998年

[10] 陈振官等编著. 新颖高效声光报警器. [M]北京:国防工业出版社,2005年

附录I 总电路图

7

U 33R 330U P H O T

附录II 元器件清单

直流数字电压表课程设计报告设计

电子技术基础 课程设计 题目名称:直流数字电压表 指导教师:唐治德 学生班级: 学号: 学生姓名: 评语: 成绩: 重庆大学电气工程学院 2015年7月3日

目录一、内容摘要 二.课程设计任务与要求 2.1设计目的 2.2设计求 三.设计思路和方案选择 3.1 设计思路 3.2 方案选择 四.工作原理 4.1 基本原理框图 4.2 ICL7107的工作原理 4.3原理图 五.电路设计与仿真 六、系统调试与结果分析 6.1调试方法 6.2测试结果分析 六.元器件清单 八、总结及心得体会 九、参考文献

内容摘要 伴随着电子技术科学的发展,电子测量技术已成为广大电子技术工作者必须掌握的一门科学技术,同时对测量的精度和功能的有着更高的要求。电压是电子测量的一个主要参数,由于电压测量在电子测量中的普遍性与重要性,因此对电压测量的研究与设计有着非常重要的意义。本次设计的主要设计内容为三档直流电压表。在设计过程中由于第一次接触这种芯片,对该芯片不是很熟悉,我们参阅了大量前人的设计,在此基础上,运用A / D转换器ICL7107构建了一个直流数字电压表。本设计首先简要介绍了设计电压表的主要方式,然后详细介绍了直流数字电压表的设计流程和芯片的工作原理,本设计中我们展示了两种方案,手动换挡的自动换挡,在各方案中也给出了两种方案的优缺点。同时也给出了硬件电路的设计细节,包括各部分电路的走向、芯片的选择以及方案的可行性分析等。 关键字:ICL7107芯片,数字电压表,A\D转换,比较器,CC4006双向模拟开关。 课程设计任务及要求 2.1设计目的 1、掌握双积分A/D转换的工作原理和集成双积分A/D转换器件的设计方法 2、掌握常用数字集成电路的功能和使用 2.2设计要求 1.设计直流数字电压表 2.直流电压测量范围: 0V~1.999V,0V~19.99V,0V~199.9V。 3.直流输入电阻大于100kΩ。 4.画出完整的设计电路图,写出总结报告。 5.选做内容:自动量程转换。 设计思路和方案选择

数字电子技术课程设计

实验十六简易脉宽测量电路 脉宽测量设计用来测量一个PWM波的高电平持续时间进而可以实现信号的占空比测量。一.设计要求 用常用数字电路IC设计一个脉宽测量,主要技术指标如下: 1)能显示三位计数值,时间单位为毫秒。 1)被测量脉冲的频率范围1H Z~100H Z,10KH Z的时间基准信号由信号发生器提供。 2)能测量信号的高低电平宽度,实现占空比测量。 3)写出设计过程,画出逻辑图。 二.要求完成的任务 1)利用软件(如modelsin)进行设计输入,设计仿真,使其具备设计要求的逻辑功能。 2)根据前期的设计搭建数字电路,调试系统。 3)画出完整的电路图,写出设计总结报告 4)基准频率由晶振电路分频产生,存储前后两次的测量数据,实现占空比测量。三.工作原理及设计思路 10KH Z的矩形脉冲信号由信号发生器提供,它和分频器组成一个时间标准信号电路,用以产生1ms的计时时间。通过计数器,译码器和显示器显示出被测脉冲的宽度。 被测量信号Fx为周期性矩形脉冲。在测量控制信号启动后,控制器使控制门只能让被测信号Fx的第一个正脉冲通过,从而测出脉冲宽度Tw的时间,因此,控制器应由触发器和门电路组成。 四.设计框图 总体框图如下: 五.参考原理图

以下是实现的一种参考方案: 六. 电路原理 其中被测信号Fx 为100H Z ~1KH Z 信号源,我们的主控门为U4,我们的标准信号源频率为1KH Z ~10KH Z 信号源,U3输出为我们要测量的信号高电平持续时间。即当U3输出为1时,我们对标准信号源进行记数。 我们的控制门为U2和U3,U3输出被测量信号的一个高电平脉宽, U2A 和U2B 分别为被测信号源上升沿触发(置1)和下降沿触发(置0)。 U1A 的1Q 输出为预置信号,为0时有效,开始启动时,K 置0,使U2A 置0,U2B 置1。然后,K 置1,计数开始。这时如果被测信号上升沿到来,U2A 置1开始打开主控制门开始计数,紧接着的下降沿使U2B 置0,从而关掉控制门,计数完毕。但是由于启动时要求上升沿先到达以达到正确记数,所以要外加电路使电路在第一个下降沿之后开始工作,也就是U1A 的作用,读者可以自行分析。接下来就只要扩展为1000进制计数器了。 七.所用的元器件:双D 触发器74LS74×2,与非门74LS00×2,计数器74LS160×2,七段共阴数码管2个,开关1个。 八.思考扩展模块: (1).如何实现测量信号的占空比。 (2).提高测量的精度,进行误差分析。 ﹠ 1D 1CP 1S D 1Q 1R D 1D 1S D 1CP 1Q 1R D 2S D 2Q 2CP 2 R D 2D ﹠ 1 ﹠ 1 QD QC QB QA 74LS160 ENP LOAD ENT CLK CLR QD QC QB QA ENT CO ENP 74LS160 LOAD CLK CLR “1” “1” Vcc Vcc Vcc 接译码器 Fx 标准信号 U1 U2 U3 U4 U5 U1A U2A U2B K

数字电路电子时钟课程设计

数字电路电子时钟课程设计 整个数字钟由时间计数电路、晶体振荡电路、校正电路、整点报时电路组成。 其中以校正电路代替时间计数电路中的时、分、秒之间的进位,当校时电路处于正常输入信号时,时间计数电路正常计时,但当分校正时,其不会产生向时 进位,而分与时的校位是分开的,而校正电路也是一个独立的电路。电路的信 号输入由晶振电路产生,并输入各电路 方案论证:方案一数字电子钟由信号发生器、“时、分、秒”计数器、译码 器及显示器、校时电路、整点报时电路等组成。秒信号产生器是整个系统的时 基信号,它直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。 优点:数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械 式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。 方案二秒、分计数器为60进制计数器,小时计数器为24进制计数器。 实现这两种模数的计数器采用中规模集成计数器74LS90构成。 优点:简单易懂,比较好调试。 1 设计原理数字电子钟由信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路等组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。将标 准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被 送到“时计数器”。“时计数器”采用24进制计数器,可以实现一天24h的累计。译码显示电路将“时、分、秒”计数器的输出状态经七段显示译码器译码,通 过六位LED显示器显示出来。整点报时电路是根据计时系统的输出状态产生一

大连理工大学数字电路课程设计报告:多功能数字时钟设计

大连理工大学本科实验报告题目:多功能数字时钟设计 课程名称:数字电路与系统课程设计 学院(系):信息与通信工程学院 专业:电子信息工程 班级: 学生姓名: 学号: 完成日期:2014年7月16日 2014 年7 月16 日

题目:多功能数字时钟设计 1 设计要求 1) 具有“时”、“分”、“秒”及“模式”的十进制数字显示功能; 2) 具有手动校时、校分功能,并能快速调节、一键复位(复位时间12时00分00秒); 3) 具有整点报时功能,从00分00秒起,亮灯十秒钟; 4) 具有秒表功能(精确至百分之一秒),具有开关键,可暂停、可一键清零; 5) 具有闹钟功能,手动设置时间,并可快速调节,具有开关键,可一键复位(复位时间12时00分00秒),闹钟时间到亮灯十秒钟进行提醒; 6) 具有倒计时功能(精确至百分之一秒),可手动设置倒计时时间,若无输入,系统默认60秒倒计时,且具有开关键,计时时间到亮灯十秒钟进行提醒,可一键复位(复位时间默认60秒)。 2 设计分析及系统方案设计 2.1 模式选择模块:按键一进行模式选择,并利用数码管显示出当前模式。模式一:时钟显示功能;模式二:时钟调节功能;模式三:闹钟功能;模式四:秒表功能;模式五:倒计时功能。 2.2 数字钟的基本功能部分:包括时、分、秒的显示,手动调时,以及整点报时部分。基本模块是由振荡器、分频器、计数器、译码器、显示器等几部分组成。利用DE2硬件中提供的50MHZ晶振,经过分频得到周期为1s的时钟脉冲。将该信号送入计数器进行计算,并把累加结果以“时”“分”“秒”的形式通过译码器由数码管显示出来。 具有复位按键1,在时钟模式下按下复位键后对时钟进行复位,复位时间12时00分00秒。 进入手动调时功能时,通过按键调节时间,每按下依次按键2,时钟时针加一,按下按键2一秒内未松手,时钟时针每秒钟加十;按键1对分针进行控制,原理与时针相同并通过译码器由七位数码管显示。 从00分00秒开始,数字钟进入整点报时功能(本设计中以一个LED灯代替蜂鸣器,进行报时),亮灯10秒钟进行提示。 2.3多功能数字钟的秒表功能部分:计时范围从00分00.00秒至59分59.99秒。可由复位键0异步清零,并由开关1控制计时开始与停止。 将DE2硬件中的50MHZ晶振经过分频获得周期为0.01秒的时钟脉冲,将信号送入计数器进行计算,并把累计结果通过译码器由七位数码管显示 2.4多功能数字钟的闹钟功能部分:进入闹钟功能模式后,通过按键2(设定小时)和按键1(设定分钟)设定闹钟时间,当按下按键一秒内未松手时,可进行快速设定时间。当时钟进入闹钟设定的时间(判断时钟的时信号时针,分针分别与闹钟设定的时信号时针、分针是否相等),则以LED灯连续亮10秒钟进行提示,并由开关0控制闹钟的开和关。 2.5 多功能数字钟的倒计时功能部分:可通过按键3(设定分针)和按键2(设定秒针)设定倒计时开始,当按下按键一秒内未松手时,可进行快速设定时间。当没有手动时间设定时,系统默认为60秒倒计时。倒计时的时钟与数字钟的时钟相同,每迎到一个1s时钟上升

基于单片机的数字电压表设计报告

单片机原理及系统课程设计 专业:电气工程及其自动化 班级: 姓名: 学号: 指导教师: 兰州交通大学自动化与电气工程学院 2010 年 3 月 7 日

基于单片机的数字电压表设计 摘要

图3.2系统原理图4软件设计

5.系统调试及仿真结果 6.总结 两周的课程设计结束了,在这过程中,我学到了很多东西。首先,我学会了单片机设计的基本过程有哪些,每一过程有哪些基本的步骤,怎样通过查资料去完成这每一步。其次我巩固了上学期所学的一些单片机知识,从而加深了对ADC0809芯片的功能的了解。在编程过程中,遇到了许多困难,通过与同学之间的交流和咨询,最后解决了这些困难。所谓实践出真知,学到的东西只有运用到实践当中,才能真正体会到知识的力量。最后,通过这次课程设计,让我明白了想法和实践还是有差距的,当你真正去做一件事的时候,你会发现你的想法可能不适用,随时都需要调整,另外扎实的理论知识也是完成设计任何设计必不可少的要素,一切想法离开了理论知识都是空想。 参考文献 [1]彭为,黄科,雷道仲.单片机典型系统设计实例精讲[M].电子工业出版社.2009:22-54. [2] 谭浩强.C程序设计(第三版)[M].清华大学出版社.2009:32-46. [3] 王思明,张金敏,张鑫等.单片机原理及应用系统设计(第一版)[M].科学出版社.2012:70-292.

附录A源程序代码#include #include #define uchar unsigned char sbit p21=P2^1; sbit p22=P2^2; sbit p23=P2^3; sbit EOC=P3^1; sbit OE=P3^0; sbit ST=P3^2; sbit p34=P3^4; sbit p35=P3^5; sbit p36=P3^6;

数字电压表课程设计实验报告

自动化与电气工程学院 电子技术课程设计报告 题目数字电压表的制作 专业 班级 学号 学生姓名 指导教师 二○一三年七月

一、课程设计的目的与意义 1.课程设计的主要目的,是通过电子技术综合设计,熟悉一般电子电路综合设计过程、设计要求、完成的工作内容和具体的设计方法。 2.同时了解双积分式A/D转换器ICL7107的性能及其引脚功能,熟悉集成电路ICL7107构成直流数字电压表的使用方法,并掌握其在电路中的工作原理。 3.通过设计也有助于复习和巩固以往的模电、数电内容,达到灵活应用的目的。在完成设计后还要将设计的电路进行安、调试以加强学生的动手能力。在此过过程中培养从事设计工作的整体观念。 4.利用双积分式A/D转换器ICL7107设计一数字电压表,量程为-1.99—+1.99,通过七段数码管显示。 二、电路原理图 数字电压表原理图

三、课程设计的元器件 1.课程设计所使用的元器件清单: 2.主要元器件介绍 (1)芯片ICL7107: ICL7107的工作原理 双积分型A/D转换器ICL7107是一种间接A/D转换器。它通过对输入模拟电压和参考电压分别进行两次积分,将输入电压平均值变换成与之成正比的时间间隔,然后利用脉冲时间间隔,进而得出相应的数字性输出。 它的原理性框图如图所示,它包括积分器、比较器、计数器,控制逻辑和时钟信号源。积分器是A/D转换器的心脏,在一个测量周期内,积分器先后对输入信号电压和基

准电压进行两次积分。比较器将积分器的输出信号与零电平进行比较,比较的结果作为数字电路的控制信一号。时钟信号源的标准周期Tc 作为测量时间间隔的标准时间。它是由内部的两个反向器以及外部的RC组成的。其振荡周期Tc=2RCIn1.5=2.2RC 。 ICL7106A/D转换器原理图 计数器对反向积分过程的时钟脉冲进行计数。控制逻辑包括分频器、译码器、相位驱动器、控制器和锁存器。 分频器用来对时钟脉冲逐渐分频,得到所需的计数脉冲fc和共阳极LED数码管公共电极所需的方波信号fc。 译码器为BCD-7段译码器,将计数器的BCD码译成LED数码管七段笔画组成数字的相应编码。 驱动器是将译码器输出对应于共阳极数码管七段笔画的逻辑电平变成驱动相应笔画的方波。 控制器的作用有三个:第一,识别积分器的工作状态,适时发出控制信号,使各模拟开关接通或断开,A/D转换器能循环进行。第二,识别输入电压极性,控制LED 数码管的负号显示。第二,当输入电压超量限时发出溢出信号,使千位显示“1" ,其余码全部熄灭。 钓锁存器用来存放A/D转换的结果,锁存器的输出经译码器后驱动LED 。它的每个测量周期自动调零(AZ)、信号积分(INT)和反向积分(DE)三个阶段。

数电课程设计 数字电子钟

数字电子技术课程设计 数字电子钟 指导老师: 小组成员:

目录 摘要 (3) 第一节系统概述 (4) 第二节单元电路设计与分析 (6) 第三节电路的总体设计与调试 (11) 第四节设计总结 (13) 附录部分芯片功能参数表 (14) 参考文献 (17)

摘要 数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 关键词振荡器、计数器、译码显示器、Multisim

第一节系统概述 数字电子钟是由多块数字集成电路构成的,其中有振荡器,分频器,校时电路,计数器,译码器和显示器六部分组成。振荡器和分频器组成标准秒信号发生器,不同进制的计数器产生计数,译码器和显示器进行显示,通过校时电路实现对时,分的校准。 1.1实验目的 1).掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法; 2).进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力; 3).提高电路布局﹑布线及检查和排除故障的能力; 4).培养书写综合实验报告的能力。 1.2 主要内容 熟悉Multisim10.0仿真软件的应用;设计一个具有显示、校时、整点报时和定时功能的数字时钟,.能独立完成整个系统的设计;用Multisim10.0仿真实现数字时钟的功能。 1.3 系统设计思路与总体方案 数字时钟基本原理的逻辑框图如下所示:

单片机课程设计报告——数字电压表[1]剖析

数字电压表 单片机课程设计报告 班级: 姓名: 学号: 指导教师: 2011 年3 月29 日

数字电压表电路设计报告 一、题目及设计要求 采用51系列单片机和ADC设计一个数字电压表,输入为0~5V线性模拟信号,输出通过LED显示,要求显示两位小数。 二、主要技术指标 1、数字芯片A/D转换技术 2、单片机控制的数码管显示技术 3、单片机的数据处理技术 三、方案论证及选择 主要设计方框图如下: 1、主控芯片 方案1:选用专用转化芯片INC7107实现电压的测量和实现,用四位数码管显示出最后的转换电压结果。缺点是京都比较低,内部电压转换和控制部分不可控制。优点是价格低廉。 方案2:选用单片机AT89C51和A/D转换芯片ADC0809实现电压的转换和控制,用四位数码管显示出最后的转换电压结果。缺点是价格稍贵;优点是转换京都高,且转换的过程和控制、显示部分可以控制。 基于课程设计的要求和实验室能提供的芯片,我选用了:方案2。 2、显示部分 方案1:选用4个单体的共阴极数码管。优点是价格比较便宜;缺点是焊接时比较麻烦,容易出错。 方案2:选用一个四联的共阴极数码管,外加四个三极管驱动。这个电路几乎没有缺点;优点是便于控制,价格低廉,焊接简单。 基于课程设计的要求和实验室所能提供的仪器,我选用了:方案2。

四、电路设计原理 模拟电压经过档位切换到不同的分压电路筛减后,经隔离干扰送到A/D 转换器进行A/D 转换。然后送到单片机中进行数据处理。处理后的数据送到LED 中显示。同时通过串行通讯与上位通信。硬件电路及软件程序。而硬件电路又大体可分为A/D 转换电路、LED 显示电路,各部分电路的设计及原理将会在硬件电路设计部分详细介绍;程序的设计使用汇编语言编程,利用Keil 和PROTEUS 软件对其编译和仿真。 一般I/O 接口芯片的驱动能力是很有限的,在LED 显示器接口电路中,输出口所能提供的驱动电流一般是不够的尤其是设计中需要用到多位LED ,此时就需要增加LED 驱动电路。驱动电路有多种,常用的是TTL 或MOS 集成电路驱动器,在本设计中采用了74LS244驱动电路。 本实验采用AT89C51单片机芯片配合ADC0808模/数转换芯片构成一个简易的数字电压表,原理电路如图1所示。该电路通过ADC0808芯片采样输入口IN0输入的0~5 V 的模拟量电压,经过模/数转换后,产生相应的数字量经过其输出通道 D0~D7传送给AT89C51芯片的P0口。AT89C51负责把接收到的数字量经过数据处理,产生正确的7段数码管的显示段码,并通过其P1口传送给数码管。同时它还通过其三位I/O 口P1.0、P1.1、P1.2、P1.3产生位选信号,控制数码管的亮灭。另外,AT89C51还控制着ADC0808的工作。其ALE 管脚为ADC0808提供了1MHz 工作的时钟脉冲;P2.4控制ADC0808的地址锁存端 (ALE);P2.1控制ADC0808的启动端(START);P2.3控制ADC0808的输出允许端(OE);P2.0控制ADC0808的转换结束信号(EOC)。 电路原理图如下所示,三个地址位ADDA,ADDB,ADDC 均接高电平+5V 电压,因而所需测量的外部电压可由ADC0808的IN7端口输入。由于ADC0808

数字电子技术课程设计-题目

数电课设说明 1.单人单题,每个题目在同一班不能超过5人选择!为了避免重复过多,请填写两个心仪的题目。 2.如果这些题目都进不了尊驾法眼,请自行设计题目名称、题目要求并提交给老师,审核通过后也可以正式进场施工。 3.建议先用Multisim或Proteus仿真测试再制作。 4.经费由学校统一下达,每人20元,元器件请由班级或个人自行购买。 5.请电信121、122填写好下表,于下周二前发送到农民工邮箱baojinghaiqq.。 钦州学院数电课设题目小全 1.智力竞赛抢答装置的设计 (1)基本功能 ①4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应; ②给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始; ③抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止; ④抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续0.5秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续0.5秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止; ⑤如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续0.5秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 (2)设计成果要求 ①利用集成芯片、分立元件制作线路板,实现有关电路功能; ②设计报告一份。

(完整版)数字电路课程设计--数字时钟

《数字时钟》技术报告 概要 数字钟是一个将“ 时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24 小时,显示满刻度为23 时59 分59 秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时” 、“分”、“秒” 的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555 震荡器,74LS90 及与非,异或等门集成芯片等。该电路具有计时和校时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 一、系统结构。 (1)功能。此数字钟能显示“时、分、秒”的功能,它的计时周期是24 小时,最大能显示23 时59 分59 秒,并能对时间进行调整和校对,相对于机械式的手表其更为准确。 2)系统框图

系统方框图 1 (3)系统组成。 1.秒发生器:由555 芯片和RC 组成的多谐振荡器,其555 上3 的输出频率由接入的电阻与电容决定。 2.校时模块:由74LS03 中的4 个与非门和相应的开关和电阻构成。 3.计数器:由74LS90 中的与非门、JK 触发器、或门构成相应芯片串接得到二十四、六十进制的计数器,再由74LS90 与74LS08 相连接而得到秒、分、时的进分别进位。 4.译码器:选用BCD 锁存译码器4511,接受74LS90 来的信号,转换为7 段的二进制数。

5.显示模块:由7 段数码管来起到显示作用,通过接受CD4511 的信号。本次选用的是共阴型的CD4511 。 二、各部分电路原理。 1.秒发生器:555 电路内部(图2-1)由运放和RS 触发器共同组成,其工作原理由8处接VCC ,C1 处当 Uco=2/3Vcc>u11 时运放输出为1,同理C2 也一样。最终如图3 接口就输出矩形波,而形成的秒脉冲。 图 2-2 555 功能表 2.校时模块:校时模块主要由74LS03中的4个与非门构成(图2-3),由其功能图看得出只要有一个输入端由H 到L 或者从L 到H 都会使输出端发生高低变化。因此通过开关的拨动产生高低信号从而对时、分处的计数器起到调数作用。

电子密码锁_大连理工大学数电课设

大连理工大学本科实验报告 题目:电子密码锁 课程名称: 数字电路课程设计 学院(系): 电子信息及电气工程学部 专业:电气工程及其自动化 班级: 学生姓名: 学号: 完成日期: 成绩:

题目:电子密码锁 1 设计要求 设计一个8位串行数字锁,并验证其操作。具体要求如下:1.开锁代码为8位二进制数,当输入代码的位数和位值与锁内给定的密码一致,且按规定程序开锁时,方可开锁,并点亮开锁指示灯LT。否则,系统进入“错误”状态,并发出报警信号。 2.开锁程序由设计者确定,并要求锁内给定的密码是可调的,且预置方便,保密性好。 3.串行数字锁的报警方式是点亮77指示灯LF,并使喇叭鸣叫来报警,报警动作响1分钟,停10秒钟后再重复出现,直到按下复位开关,报警才停止。此时,数字锁自动进入等待下一次开锁的状态。 4.报警器可以兼作门铃用,门铃响的时间通常为7~10秒。 2 设计分析及系统方案设计 系统的结构图如下图所示: 本实验要求串行输入八位密码,密码可以随意设置,可以任意更改, 并且能够存储.而且能够显示出当前已经输入或者设置的位数,待输入八位后通过比较电路与预先设置的密码进行比较.如果输入的密码与存储的密码相同锁体打开如果输入的密码与存储的密码不同则报警系统打开发出警报.由于还要求有门铃功能所以增加一个门铃输入当门铃按下后门铃响十秒钟。

对于密码存储以及密码输入比较部分主要由load 控制。 load为0时系统功能为设置密码,此时只需要顺序串行输入八位0/1密码即可,系统将输入的密码自动保存在存储器内以便于输入的密码进行比较,当load为1时系统功能为输入密码,此时只需要顺序串行输入八位0/1即可,待输入八位后系统自动将刚输入的密码与存储器内的密码进行比较如果密码正确则开锁信号lt为1,否则警报信号lf 和响铃信号alm为1 lt为0 对于门铃部分当检测到press信号的下降沿时门铃开始响,计数器开始计数,此时始终脉冲频率为50MHz/16MHz=3.125Hz ,此时当计数器为30时既时间为30/3.125=9.6秒时门铃自动关闭。 3系统以及模块硬件电路设计 系统电路图 系统电路图如上如所示其中clk1脚接入50MHz的方波,load有两种状态----高电平和低电平状态。k0 k1 press clr 分别接到四个去抖开关上开关按下去为低 电平lt alm2 lf alm分别接到四个发光二级管上,当输出为1时二极管发光。

单片机课程设计 数字电压表设计

《单片机原理及应用》课程设计报告书 课题名称数字电压表设计 名姓 学号 专业

指导教师 机电与控制工程学院月年日 1 任务书 电压表是测量仪器中不可缺少的设备,目前广泛应用的是采用专用集成电路实现的数字电压表。本系统以8051单片机为核心,以逐次逼近式A/D转换器ADC0809、LED显示器为主体,设计了一款简易的数字电压表,能够测量0~5V的直流电压,最小分辨率为0.02V。 该设计大体分为以下几个部分,同时,各部分选择使用的主要元器件确定如下: 1、单片机部分。使用常见的8051单片机,同时根据需要设计单片机电路。 2、测量部分。该部分是实验的重点,要求将外部采集的模拟信号转换成数字信号,通过单片机的处理显示在显示器上,该部分决定了数字电压表的精度等主要技术指标。根据需要本设计采用逐次逼近型A∕D转换器ADC0809进行模数转换。 3、键盘显示部分。利用4×6矩阵键盘的一个按键控制量程的转换,3或4位LED显示。其中一位为整数部分,其余位小数部分。 关键词:8051 模数转换LED显示矩阵键盘 2 目录

1 绪论 (1) 2 方案设计与论证 (2) 3 单元电路设计与参数计算 (3) 4 总原理图及参考程序 (8) 5 结论 (14) 6 心得体会 (15) 参考文献16 (7) 3 1.绪论 数字电压表的基本工作原理是利用A/D转换电路将待测的模拟信号转换成数字信号,通过相应换算后将测试结果以数字形式显示出来的一种电压表。较之于一般的模拟电压表,数字电压表具有精度高、测量准确、读数直观、使用方便等优

点。 电压表的数字化测量,关键在于如何把随时连续变化的模拟量转化成数字量,完成这种转换的电路叫模数转换器(A/D)。数字电压表的核心部件就是A/D转换器,由于各种不同的A/D转换原理构成了各种不同类型的DVM。一般说来,A/D 转换的方式可分为两类:积分式和逐次逼近式。 积分式A/D转换器是先用积分器将输入的模拟电压转换成时间或频率,再将其数字化。根据转化的中间量不同,它又分为U-T(电压-时间)式和U-F(电压-频率)式两种。 逐次逼近式A/D转换器分为比较式和斜坡电压式,根据不同的工作原理,比较式又分为逐次比较式及零平衡式等。斜坡电压式又分为线性斜坡式和阶梯斜坡式两种。 在高精度数字电压表中,常采用由积分式和比较式相结合起来的复合式A/D转换器。本设计以8051单片机为核心,以逐次比较型A/D转换器ADC0809、LED 显示器为主体,构造了一款简易的数字电压表,能够测量1路0~5V直流电压,最小分辨率0.02V。 4 2.方案设计与论证 基于单片机的多路数字电压表电路的基本组成如图3.1所示。

电子秒表电路的设计

摘要 在数字测量仪表和各种数字系统中,都需要将数字量直观的显示出来,数字显示电路通常由译码驱动器和显示器等部分组成。数码显示器就是用来显示数字、文字或符号的器件。七段式数字显示器是目前常用的显示方式,它利用不同发光段的组合,可以显示0~9等阿拉伯数字。充分运用芯片74LS90的逻辑功能,用四片74LS90芯片实现秒表示0.1~60秒。利用集成与非门构成的基本RS触发器(低电平直接触发)实现电路的直接置位、复位功能。利用集成与非门构成的微分型单稳态触发器为计数器清零提供输出负脉冲。利用555定时器构成的多谐振荡器为电路提供脉冲源以驱动电路工作。?关键词:基本RS触发器,单稳态触发器,多谐振荡器,译码显示器。 ?1电子秒表简介 电子秒表是一种较先进的电子计时器,目前国产的电子秒表一般都是利用石英振荡器的振荡频率作为时间基准,采用6位液晶数字显示时间。电子秒表的使用功能比机械秒表要多,它不仅能显示分、秒,还能显示时、日、月及星期,并且有1/l00s的功能。 本实验设计的电子秒表电路的基本组成框图如图1-1所示,它主要由基本RS触发器、单稳态触发器、多谐振荡器、计数器和译码显示器5个部分组成。 图1-1 电子秒表电路的基本组成框图

2单元电路设计及相关元器件的功能简介 2.1基本RS触发器 本实验设计电路所选用的基本RS触发器为用集成与非门构成的基本RS触发器。属低电平直接触发的触发器,有直接置位、复位的功能。其功能表如表2-1所示。 RSQn Qn+1功能 0 0 0 不用不允许 0 0 0 不用 0 1 00 Qn+1=0,置0 0 1 1 0 1011Qn+1=1,置1 10 0 1 1 1 11Qn+1=Qn,保持 1 1 0 0 表2-1 基本RS触发器 如图2-1所示,它的一路输出Q作为单稳态触发器的输入,另一路输出Q作为与非门5的输入控制信号。切换按钮开关K1(接地),则门1输出Q =1;门2输出Q=0,K1复位后Q、Q状态保持不变。再切换按钮开关K2,则Q由0变为1,门5开启,为计数器启动作好准备;Q由1变为0,送出负脉冲,启动单稳态触发器工作。 基本RS触发器在电子秒表中的职能是启动和停止秒表的工作. 图2-1 基本RS触发器

数电设计数字钟基于QUARTUS

大连理工大学本科实验报告 题目:数电课设——多功能数字钟 课程名称:数字电路课程设计 学院(系):电信学部 专业:电子与通信工程 班级: 学生姓名: *************** 学号:*************** 完成日期: 成绩: 2010 年 12 月 17 日 题目:多功能数字时钟 一.设计要求 1)具有‘时’、‘分’、‘秒’的十进制数字显示(小时从00~23) 2)具有手动校时校分功能 3)具有整点报时功能,从59分50秒起,每隔2秒钟提示一次 4)具有秒表显示、计时功能(精确至百分之一秒),可一键清零 5)具有手动定时,及闹钟功能,LED灯持续提醒一分钟 6)具有倒计时功能,可手动设定倒计时范围,倒计时停止时有灯光提示,可一键清零 二.设计分析及系统方案设计 1. 数字钟的基本功能部分,包括时、分、秒的显示,手动调时,以及整点报时部分。基本模块是由振荡器、分频器、计数器、译码器、显示器等几部分组成。利用DE2硬件中提供的50MHZ晶振,经过分频得到周期为1s的时钟脉冲。将该信号送入计数器进行计算,并把累加结果以“时”“分”“秒”的形式通过译码器由数码管显示出来。 进入手动调时功能时,通过按键改变控制计数器的时钟周期,使用的时钟脉冲进行调时计数(KEY1调秒,LOAD2调分,LOAD3调时),并通过译码器由七位数码管显示。

从59分50秒开始,数字钟进入整点报时功能。每隔两秒提示一次。(本设计中以两个LED灯代替蜂鸣器,进行报时) 2. 多功能数字钟的秒表功能部分,计时范围从00分秒至59分秒。可由输入信号(RST1)异步清零,并由按键(EN1)控制计时开始与停止。 将DE2硬件中的50MHZ晶振经过分频获得周期为秒的时钟脉冲,将信号送入计数器进行计算,并把累计结果通过译码器由七位数码管显示。 3.多功能数字钟的闹钟功能部分,通过按键(KEY1,KEY2,KEY3)设定闹钟时间,当时钟进入闹钟设定的时间(判断时钟的时信号qq6,qq5与分信号qq4,qq3分别与闹钟设定的时信号r6,r5与分信号r4,43是否相等),则以LED灯连续提示一分钟。 4. 多功能数字钟的倒计时功能部分,可通过按键(LOAD7调秒,LOAD8调分,LOAD9调时)设定倒计时开始时刻。倒计时的时钟与数字钟的时钟相同,每迎到一个时钟上升沿,则计数器减一。计数器减至00时,分钟位、秒钟位恢复至59,时钟位恢复至23。倒计时结束时(即00时00分00秒),控制LED灯亮,表示倒计时结束。 本设计通过数据选择器控制译码器,使数码管独立显示,各功能之间互不影响。当LOAD4为高电平,则对秒表信号进行译码,数码管显示秒表数据;当LOAD4为低电平,LOAD5为高电平,则对闹钟信号进行译码,数码管显示闹钟数据;当LOAD4,LOAD5为低电平,LOAD6为高电平,则对倒计时信号进行译码,数码管显示倒计时信号数据;当LOAD4,LOAD5,LOAD6全为低电平,则对正常时钟信号进行译码,数码管显示时钟信号数据。 附图1:系统总体结构框图

数字电子技术课程设计-题目

¥ 数电课设说明 1.单人单题,每个题目在同一班不能超过5人选择!为了避免重复过多,请填写两个心仪的题目。 2.如果这些题目都进不了尊驾法眼,请自行设计题目名称、题目要求并提交给老师,审核通过后也可以正式进场施工。 3.建议先用Multisim或Proteus仿真测试再制作。 4.经费由学校统一下达,每人20元,元器件请由班级或个人自行购买。 5.请电信121、122填写好下表,于下周二前发送到农民工。 ; 钦州学院数电课设题目小全 1.智力竞赛抢答装置的设计 (1)基本功能 ①4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应; ②给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始; ③抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止; ④抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止; *

⑤如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 (2)设计成果要求 ①利用集成芯片、分立元件制作线路板,实现有关电路功能; ②设计报告一份。 (3)主要器件 74LS175、74LS20、74LS00、CC40192、CC4511、CC40106、555、数码管、蜂鸣器 2.多功能数字钟的设计 、 (1)基本功能 ①用七段LED数码管以十进制显示“时”、“分”、“秒”; ②计时计数器用24进制计时电路; ③可手动校时,能分别进行时、分的校正; ④整点报时。 (2)设计成果要求 ①利用集成芯片、分立元件制作线路板,实现有关电路功能; ②设计报告一份。 》 (3)主要器件 CC4013、CC4060、74LS90、CC4511、CC4068、数码管 3.3位半直流数字电压表的设计 (1)基本功能 ①量程:一档:+~0~- 二档: +~0~- ②用七段LED数码管显示读数,做到显示稳定、不跳变; 《 ③保持/测量开关:能保持某一时刻的读数; ④指示值与标准电压表示值误差最低位在5之内。 (2)设计成果要求 ①利用集成芯片、分立元件制作线路板,实现有关电路功能; ②设计报告一份。 (3)主要器件 CC14433、MC1413、MC1403、CC4511、七段LED数码管器

数字电子钟课程设计报告-数电

华东交通大学理工学院课程设计报告书所属课程名称数字电子技术课程设计题目数字电子钟课程设计分院电信分院 专业班级10电信2班 学号20100210410201 学生姓名陈晓娟 指导教师徐涢基 20 12 年12 月18 日

目录 第1章课程设计内容及要求 (3) 第2章元器件清单及主要器件介绍 (5) 第3章原理设计和功能描述 (10) 第4章数字电子钟的实现 (15) 第5章实验心得 (17) 第6章参考文献 (18)

第1章课程设计内容及要求 1.1 数字钟简介 20世纪末,电子技术获得了飞速的发展。在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高、产品更新换代的节奏也越来越快。数字钟已成为人们日常生活中必不可少的生活日用品。广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点。 因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点,电路装置十分小巧,安装使用也方便而受广大消费的喜爱。 1.2 设计目的 1. 掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;

2. 进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力; 3. 提高电路布局,布线及检查和排除故障的能力。 1.3 设计要求 1. 设计一个有“时”、“分”、“秒”(23小时59分59秒)显示,且有校时功能的电子钟。 2. 用中小规模集成电路组成电子钟,并在实验箱上进行组 装、调试。 3. 画出框图和逻辑电路图、写出设计、实验总结报告。 4. 整点报时。在59分59秒时输出信号,音频持续1s,在结束时刻为整点。

数电课程设计数字电子钟说明书

数字电子技术电路课程设计题目:数字钟课程设计 学院:XXXXX 专业:XXXXX 班级:XXXX 姓名:XXXX 学号:XXXXX 指导老师:XXXXX

一、设计目的 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 二、设计要求 1.显示时,分,秒,用24小时制 2.能够进行校时,可以对数字钟进行调时间 3.能够正点报时(用555产生断续音频信号); 三、设计方案比较 方案一、采用中小规模集成电路实现 采用集成逻辑电路设计具有能实现,时、分、秒计时功能和定点报时功能,计时模块采用时钟信号触发,不需要程序控制。 方案二:EDA技术实现 采用EDA作为主控制器外围电路进行电压,时钟控制、键盘和LED控制。但此方案逻辑电路复杂,外围设备多,灵活性较低,不利于扩展 方案三、单片机编程实现 此方案采用单片机编程来设计和控制。 综上,根据自身的知识和方案比较,采用方案一,因为方案一简便灵活,扩展性好,同时符合此次数子电子知识设计的要求。 四、设计过程和说明 1.数字电子钟计时和显示功能的实现 (1)采用两片十进制计数器74LS160N扩展连接,设计60进制的计数器,显示0到59,在59时采用置数的方法,将两片74LS160N同时置数至0,以循环显示0到59。(图)

(2)24进制亦采用两片十进制计数器74LS160N扩展连接,设计24进制的计数器,显示0到23,在23时采用置数的方法,将两片74LS160N同时置数至0,以循环显示0到23(图)

基于某STC89C52的数字电压表设计报告材料

荆楚理工学院 单片机课程设计成果 学院: 电子信息工程学院班级: 13电气2班 学生姓名:xxx学号:xxxxxxxxxxxxxxxx 设计地点(单位)单片机实验室D1302 设计题目:数字电压表 完成日期:2015年7月3日 指导教师评语: _________________________________ 成绩(五级记分制): 教师签名:

摘要 电压表是测量仪器中不可缺少的设备,目前广泛应用的是采用专用集成电路实现的数字电压表。本系统以STC89C52单片机为核心,以逐次逼近式A/D转换器ADC0809、数码管显示器为主体,设计了一款简易的数字电压表,能够测量0~5V的直流电压。 该设计大体分为以下几个部分,同时,各部分选择使用的主要元器件确定如下: 1、单片机部分。使用常见的STC89C52单片机,同时根据需要设计单片机电路。 2、测量部分。该部分是实验的重点,要求将外部采集的模拟信号转换成数字信号,通过单片机的处理显示在显示器上。根据需要本设计采用逐次逼近型A ∕D转换器ADC0809进行模数转换。 3、数码管显示部分。其中一位为整数部分,其余位小数部分。 关键词:STC89C52 模数转换数码管显示

目录 1.方案设计与论证 (4) 1.1方案设计 (4) 1.2方案论证 (4) 2.系统硬件电路设计 (4) 2.1系统原理框图 (4) 2.2 A/D转换电路 (5) 2.3单片机主控电路 (5) 2.4电压显示电路 (7) 2.5总体电路设计 (8) 3.系统测试 (10) 3.1测试方法与结果 (10) 3.2测试结论 (11) 3.3误差分析 (11) 4.设计总结 (11) 参考文献 (13) 附录 (14)

相关主题
文本预览
相关文档 最新文档