当前位置:文档之家› 数电课设 速度表

数电课设 速度表

数电课设  速度表
数电课设  速度表

课程设计(论文)任务及评语

目录

第1章速度表设计方案论证 (1)

1.1速度表的应用意义 (1)

1.2速度表设计的要求及技术指标 (1)

1.3 设计方案论证 (2)

1.4 总体设计方案框图及分析 (3)

第2章速度表各单元电路设计 (4)

2.1 脉冲发生源电路设计 (5)

2.2 信号处理电路设计 (5)

2.3 显示电路设计 (5)

第3章速度表整体电路设计 (7)

3.1 整体电路图及工作原理 (7)

3.2 电路参数计算 (7)

3.3 整机电路性能分析 (8)

第4章设计总结 (9)

参考文献 (10)

速度表设计方案论证

1.1速度表的应用意义

从经济等因素来看,汽车目前乃至今后都有着广阔的发展空间。目前市面上汽车的速度表都是机械的,看起来不够直观与方便。如果能用LED直接显示出来速度值,就可节省用户的时间及精力处理汽车行进过程中的突发事件。

1.2速度表设计的要求及技术指标

1.显示汽车Km/h数;

2.车轮每转一圈,有一传感脉冲;每个脉冲代表1m的距离;

3.采样周期设为10S;

4.要求显示到小数点后边两位;

5.用数码管显示;

6,最高时速小于300Km/h。

1.3设计方案论证

本设计先通过霍尔式传感器采集车轮每转一圈所产生的传感脉冲,但这个脉冲一个不规则的方波信号且信号幅度很小,所以要通过放大电路和整形电路对采集的脉冲进行处理,再通过计数器记录产生脉冲的个数,最后通过译码器和数码管对汽车的速度进行显示。本设计简单易懂,操作起来容易,而且较节省费用。

1.4总体设计方案框图及分析

首先通过霍尔式传感器收集车轮每转一周所产生的脉冲,放大电路和整形电路是

为了将霍尔式传感器收集来的不规则且幅度小的脉冲放大和整形,然后通过计数器计算产生脉冲的个数并输出,最后通过译码器和LED数码管显示汽车的速度。

速度表各单元电路设计

2.1脉冲发生源电路设计

本设计采用了霍尔式转速传感器,霍尔式转速传感器是利用霍尔效应的原理制成的。霍尔效应是指在一个矩形半导体薄片上有一电流通过,此时如有一磁场也作用于该半导体材料上,则在垂直于电流方向的半导体两端,会产生一个很小的电压,该电压就称为霍尔电压。霍尔式转速传感器是使转盘的输入轴与被测转轴相连,当被测转轴转动时,转盘随之转动,固定在转盘的霍尔传感器便可在每一个小磁铁通过时产生一个相应的脉冲,检测出单位时间的脉冲数,便可知被测转速。根据磁性转盘上磁铁数目多少就可确定传感器测量转速的分辨率。

2.2信号处理电路设计

由于霍尔式传感器输出的脉冲信号是一个不规则的方波信号且信号幅度很小,所以必须进行信号的放大整形。放大电路可用三极管组成,采用开关三极管可以保证放大器具有良好的高频响应。当输入信号为零或负电压时,三极管截止,电路输出高电平;而

当输入信号为正电压时,三极管导通,此时输出电压随着输入电压的上升而下降,由于放大器的放大功能降低了对待测信号的幅度要求。

利用施密特触发器状态转换过程中的正反馈作用,可以把边沿变化缓慢的周期性信号变换为边沿很陡的矩形脉冲信号。输入的信号只要幅度大于V T+,即可在施密特触发器的输出端得到同等频率的矩形脉冲信号。

从传感器得到的矩形脉冲经传输后往往发生波形畸变。当传输线上的电容较大时,波形的上升沿将明显变坏;当传输线较长,而且接受端的阻抗与传输线的阻抗不匹配时,在波形的上升沿和下降沿将产生振荡现象;当其他脉冲信号通过导线间的分布电容或公共电源线叠加到矩形脉冲信号时,信号上将出现附加的噪声。无论出现上述的那一种情况,都可以通过用施密特反相触发器整形而得到比较理想的矩形脉冲波形。只要施密特触发器的V T+和V T-设置得合适,均能受到满意的整形效果。

2.3显示电路设计

本设计采用四位同步二进制计数器74160,当RCO=LOAD′=ENP=ENT=1时,电路工作在计数状态,图中与非门G起译码作用,当电路进入1010状态时,它输出低电平信号。SR锁存器Q′端输出低电平作为计数器的置零信号。若计数器从0000状态开始计数,则第十个计数输入脉冲上升沿到达时计数器进入1010状态,G输出低电平,将SR锁存器置1,Q′端低电平立刻将计数器置零。这时虽然G输出的低电平信号随之消失了,但SR 锁存器的状态仍保持不变,因而计数器的置零信号得以维持。直到计数脉冲回到低电平以后,SR锁存器被置零,Q′端的低电平信号才消失。可见,加到计数器RCD端的置零信号宽度与输入计数脉冲高电平持续时间相等。让一个脉冲的持续时间为一秒,则十个脉冲为十秒,十秒一置零,可使采样周期为十秒。

最后一个计数器采用二进制计数器,使其只能输出到2,让速度表的的显示值小于300Km/h。

为了能以十进制数码直观的显示数字系统的运行数据,本设计使用七段数码管,这种数码管的每个线段都是一个发光二极管,并且数码管中还在右下角处增设了一个小数点,形成了所谓的八段译码器,八段发光二极管的阴极是做在一起的,属于共阴极类型发光二极管使用的材料与普通的硅二极管和锗二极管不同,有磷砷化镓、磷化镓、砷化镓等几种,而且半导体中的杂质浓度很高。半导体数码管不仅具有工作电压低、体积小、寿命长、可靠性高等优点,而且响应时间短,亮度也比较高。BCD译码器的输入是一位BCD码(以D,C,B,A表示),输出是数码管各段的驱动信号,也称4-7译码器。用它驱动共阴LED数码管,则输出应为高电平,即输出为高时,相应的显示段发光。本设计采用7448BCD译码器。

速度表整体电路设计

3.1整体电路图及工作原理

霍尔式传感器利用霍尔效应使转盘的输入轴与被测转轴相连,当被测转轴转动时,转盘随之转动,固定在转盘的霍尔传感器便可在每一个小磁铁通过时产生一个相应的脉冲,检测出单位时间的脉冲数,便可知被测转速。根据磁性转盘上磁铁数目多少就可确定传感器测量转速的分辨率。由于霍尔式传感器输出的脉冲信号是一个不规则的方

波信号且信号幅度很小,所以必须进行信号的放大整形。放大电路采用三极管组成,则整形电路应用了施密特触发器,可使其变成规则的矩形波,每个脉冲代表汽车行进了0.001公里,接着将处理过的信号脉冲接入四位同步二进制计数器74160,当RCO=LOAD′=ENP=ENT=1时,电路工作在计数状态,图中与非门G起译码作用,当电路进入1010状态时,它输出低电平信号。SR锁存器Q′端输出低电平作为计数器的置零信号。若计数器从0000状态开始计数,则第十个计数输入脉冲上升沿到达时计数器进入1010状态,G 输出低电平,将SR锁存器置1,Q′端低电平立刻将计数器置零。这时虽然G输出的低电平信号随之消失了,但SR锁存器的状态仍保持不变,因而计数器的置零信号得以维持。直到计数脉冲回到低电平以后,SR锁存器被置零,Q′端的低电平信号才消失。可见,加到计数器RCD端的置零信号宽度与输入计数脉冲高电平持续时间相等。让一个脉冲的持续时间为一秒,则十个脉冲为十秒,十秒一置零,可使采样周期为十秒。最后一个计数器采用二进制计数器,使其只能输出到2,让速度表的的显示值小于300Km/h。然后将其输出的BCD码输入到7448BCD译码器中,用它驱动共阴LED数码管,则输出应为高电平,即输出为高时,相应的显示段发光。然后就LED数码管就能显示汽车的速度了。3.2电路参数计算

汽车的车轮每转一圈,电路将产生一个脉冲,可以测量出车轮的转速,每个脉冲代表0.001公里的距离,则可计算出车子的速度,让一个脉冲的持续时间为一秒,则十个脉冲为十秒,十秒一置零,可使采样周期为十秒。用计数器记录输入脉冲的个数在LED 数码管上显示,最后一个计数器采用二进制计数器,使其只能输出到2,让速度表的的显示值小于300Km/h。

3.3整机电路性能分析

这个设计采用了霍尔式传感器,三极管放大电路,用施密特触发器对脉冲进行整形,74160计数器,7448BCD译码器和LED数码管。基本满足了课程设计所提供的要求,但还是有一些不足,本设计简单易懂,操作起来容易,而且较节省费用。

第4章设计总结

这是在大学的第二次课程设计,当我刚开始看到题目时,真是不知所措啊!在网上查了一下速度表的有关内容,但确实东西很少啊!而且大多数是用单片机做的。然后就到图书馆去找相关资料,但是只找到了少数的资料,而且把那些资料研究了之后,发现

有很多不是我所能理解的知识,于是我有些灰心了,最后只能回归到课本上了,我又仔细研究了一下课本,发现用书上的一些知识也许可以,这使我又看到了希望。我主要以课本为资料做了这个设计,所以电路设计过于简单,没有什么复杂的元器件,并不象其它的教材那样用到了很复杂的元器件或是用到了复杂的芯片。在电路的设计和创新上自己做的还很不够,所以设计之后再回想一下,里面自己的东西很少,这也是以后需要改进的地方。

我在这次课程设计中学到了很多的知识,也对速度表有了了解,也对一些应用软件有了一定的了解,对EWB有了更进一步的了解,但对EWB的的仿真部分的应用还不是很熟悉,EWB功能很强大,基本上能满足我在设计中的任何要求,希望在以后的学习中会有更好的了解和学习。

我的设计还有很多的不足,还有很多需要改进的地方。我还有很多需要学习的地方,并且这次课程设计使我对本专业产生了很大的兴趣,我会继续的学习,我相信以后会做的更好。

参考文献

[1] 康华光.陈大钦.电子技术基础(数字部分).第四版.高等教育出版社2003

[2] 郁有文,常建,程继红.传感器原理及工程应用.第二版.西安电子科技大学出版社 2006

[3] 翁飞兵,陈棣湘.电子技术实践教程.国防科技大学出版社 2003

附录:器件清单

计数器74160,BCD译码器7448,LED数码管BS201A。

数电设计数字钟基于QUARTUS完整版

数电设计数字钟基于 Q U A R T U S HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】

大连理工大学本科实验报告 题目:数电课设——多功能数字钟 课程名称:数字电路课程设计 学院(系):电信学部 专业:电子与通信工程 班级: 学生姓名: *************** 学号:*************** 完成日期: 成绩: 2010 年 12 月 17 日 题目:多功能数字时钟 一.设计要求 1)具有‘时’、‘分’、‘秒’的十进制数字显示(小时从00~23) 2)具有手动校时校分功能 3)具有整点报时功能,从59分50秒起,每隔2秒钟提示一次 4)具有秒表显示、计时功能(精确至百分之一秒),可一键清零 5)具有手动定时,及闹钟功能,LED灯持续提醒一分钟 6)具有倒计时功能,可手动设定倒计时范围,倒计时停止时有灯光提示,可一键 清零 二.设计分析及系统方案设计 1. 数字钟的基本功能部分,包括时、分、秒的显示,手动调时,以及整点报时部分。基本模块是由振荡器、分频器、计数器、译码器、显示器等几部分组成。利用DE2硬件中提供的50MHZ晶振,经过分频得到周期为1s的时钟脉冲。将该信号送入计数器进行计算,并把累加结果以“时”“分”“秒”的形式通过译码器由数码管显示出来。

进入手动调时功能时,通过按键改变控制计数器的时钟周期,使用的时钟脉冲进行调时计数(KEY1调秒,LOAD2调分,LOAD3调时),并通过译码器由七位数码管显示。 从59分50秒开始,数字钟进入整点报时功能。每隔两秒提示一次。(本设计中以两个LED灯代替蜂鸣器,进行报时) 2. 多功能数字钟的秒表功能部分,计时范围从00分秒至59分秒。可由输入信号(RST1)异步清零,并由按键(EN1)控制计时开始与停止。 将DE2硬件中的50MHZ晶振经过分频获得周期为秒的时钟脉冲,将信号送入计数器进行计算,并把累计结果通过译码器由七位数码管显示。 3.多功能数字钟的闹钟功能部分,通过按键(KEY1,KEY2,KEY3)设定闹钟时间,当时钟进入闹钟设定的时间(判断时钟的时信号qq6,qq5与分信号qq4,qq3分别与闹钟设定的时信号r6,r5与分信号r4,43是否相等),则以LED灯连续提示一分钟。 4. 多功能数字钟的倒计时功能部分,可通过按键(LOAD7调秒,LOAD8调分,LOAD9调时)设定倒计时开始时刻。倒计时的时钟与数字钟的时钟相同,每迎到一个时钟上升沿,则计数器减一。计数器减至00时,分钟位、秒钟位恢复至59,时钟位恢复至23。倒计时结束时(即00时00分00秒),控制LED灯亮,表示倒计时结束。 本设计通过数据选择器控制译码器,使数码管独立显示,各功能之间互不影响。当LOAD4为高电平,则对秒表信号进行译码,数码管显示秒表数据;当LOAD4为低电平,LOAD5为高电平,则对闹钟信号进行译码,数码管显示闹钟数据;当LOAD4,LOAD5为低电平,LOAD6为高电平,则对倒计时信号进行译码,数码管显示倒计时信号数据;当LOAD4,LOAD5,LOAD6全为低电平,则对正常时钟信号进行译码,数码管显示时钟信号数据。 附图1:系统总体结构框图

数电课设报告1

通过20进制计数器的输出端的E、D信号控制移位寄存器的S0和S1及其CLR'端真值表

七、附录 555的内部结构 555定时器电路是一块介于模与数字电路的一种混合电路,由于这种特殊的地位,故5 55定时电路在报警电路、控制电路得到了广泛的应用。下图为555的内部电路,从图上可以看出,其仅有两个比较器、一个触发器、一个倒相器、放电管和几个电阻构成,由于比较器电路是一个模拟器,而触发器电路为数字电路,故其为混合器件。 555为一8脚封装的器件,其各引脚的名称和作用如下: 1脚—GND,接地脚 2脚—TL,低电平触发端 3脚—Q,电路的输出端 4脚—/R D,复位端,低电平有效 5脚—V_C,电压控制端 6脚—TH,阈值输入端 7脚—DIS,放电端 8脚—V CC,电源电压端,其电压范围为:3~18V 555的功能描述

上图中当V_C不外接电压时,三个电阻对电源电压进行分压,每个电阻上的压降为1/3 V CC,则两个比较器的同相端的输出电压分别为:1/3CC,2/3V CC。从图上可以看出,其555的工作可分为下列3种情况加以讨论: 1.当触发输入端TL输入电压低于1/3V CC而阈值输入端电压大于2/3V CC时,其下面比较器输出为高电平,触发器输出高电平; 2.当触发输入端TL输入电压高于1/3V CC,而阈值输入端电压小于2/3V CC时,其两个比较器输出皆为低电平,触发器输出保持不变; 3.当触发输入端TL输入电压高于1/3V CC而阈值输入端电压大于2/3V CC时,其上面比较器输出为高电平,触发器输出低电平。 当然你在上面讨论时可同时对放电管进行讨论其状态,这里没有讨论,详情可能见有关资料,从上面的讨论,可列出下列表格: 输入输出 TH TL/RD Q放电管状态 ××00导通>2/3V CC>1/3V CC10导通 <2/3V CC>1/3V CC1保持不变保持不变 >2/3V CC>1/3V CC10导通 <2/3V CC<1/3V CC11截止 一、芯片名称:同步可预置带清零二进制计数器 二、74LS163芯片的引脚图和引脚说明:

数电课程设计电子数字钟

数字电子技术课程设计报告 题目:数字电子钟 班级: 2016年 12月26日

目录 1、课程设计内容及要求**********************************************第3页 2、元器件清单及主要器件介绍****************************************第4页 3、原理设计和功能描述***********************************************第7页 4、数字电子钟的实现*************************************************第10页 5、总结与心得体会******************************************************第11页

课程设计内容及要求 1.1 数字钟简介 20世纪末,电子技术获得了飞速的发展。在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高、产品更新换代的节奏也越来越快。数字钟已成为人们日常生活中必不可少的生活日用品。广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。 本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、无机械传动装置等优点,因而得到了广泛的应用。 1.2 设计要求 1. 设计一个有“时”、“分”、“秒”(23小时59分59秒)显示,且有校时功能的电子钟。 2. 整点报时。在59分59秒时输出信号,音频持续1s,在结束时刻为整点。

多功能数字钟数电课设

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 多功能数字钟的设计与实现 初始条件: 本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。用数码管显示时间计数值。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个数字钟。要求用六位数码管显示时间,格式为00:00:00。 2)具有60进制和24进制(或12进制)计数功能,秒、分为60进制计数,时为24进制(或12进制)计数。 3)有译码、七段数码显示功能,能显示时、分、秒计时的结果。 4)设计提供连续触发脉冲的脉冲信号发生器, 5)具有校时单元、闹钟单元和整点报时单元。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (3) Abstract (4) 绪论 (5) 1仿真软件Proteus介绍 (6) 1.1Proteus概述 (6) 1.2Proteus功能特点 (6) 2方案论证 (8) 2.1方案一:采用中小规模集成电路模块实现 (8) 2.2方案二:采用单片机构建数字钟系统 (8) 2.3方案选择 (8) 3总体电路设计 (9) 3.1电路原理分析与设计 (9) 3.2系统原理图 (9) 4各模块电路分析 (10) 4.1时钟脉冲发生器 (10) 4.1.1方案一:RC振荡器 (10) 4.1.2方案二:555定时器 (11) 4.1.3方案三:石英晶体振荡器 (13) 4.1.4结论 (13) 4.2译码显示电路 (14) 4.3计数器电路 (16) 4.3.1 时计数电路 (17) 4.3.2 分计数电路 (18) 4.3.3秒计数电路 (19) 4.4 校时电路部分 (19) 4.4.1 方案一:快速脉冲法 (19) 4.4.1 方案二:按键单脉冲法 (20) 4.5 整点报时电路 (21) 4.6 闹钟电路 (22) 5总体电路设计与仿真 (23) 5.1总体电路图 (24) 5.2仿真结果分析 (24) 6 总结 (24) 参考文献 0

数电课程设计报告

数电课程设计报告 姓名:李鹏鹏 学号:04113063 指导老师:董瑞军

目录 1.概述 ---------------------------------------------------3 2.原理图 --------------------------------------------------3 3.FPGA与ADC0809VHDL控制程序 ------------------------------3 4.FPGA中储存模块 -----------------------------------------7 5.储存器控制模块 ----------------------------------------8 6.FPGA与DAC0832的连接和控制 ------------------------------11 7.分频模块 ---------------------------------------14 8.顶层模块设计 ----------------------------------------15 9.RTL视图 ----------------------------------------------18 10.研究体会 -----------------------------------------------19

一.概述 课题要求通过FPGA对A/D和D/A转换的控制,使得FPGA的输入量和输出量一致,根据原理设计出如下框架图。本报告主要内容从A/D转换器(ADC0809)前端的测温电路开始,经过取样保持电路,详细介绍了A/D转换器与FPGA芯片VHDL控制程序,以及FPGA的对数据的储存和控制模块,之后说明D/A转换器(DAC0832)控制程序和转换器后端的电路图,并完成顶层模块设计以各模块,主要过程在计算机上进行仿真,报告中附以详尽说明的仿真波形和统计报告。 二.原理图 若模拟信号变化速度较快,需要在A/D之前加入采样保持电路,以保证转换精度。在这里选择LF398(LF398是一个专用的采样保持芯片,它具有很高的直流精度和较高的采样速率,器件的动态性能和保持性能可以通过合适的外接保持电容达到最佳。)模拟输入量U0(t)从IP进入,采样输出量从OP口输出至ADC0809的IN口(IN0-IN7的选择由ADDA-ADDC决定),逻辑输入控制端与START连接。ADC0809和FPGA的连接和FPGA和DAC0832的连接如图,而在DAC0832后端,由于输出的为模拟电流量,若需输出电压量,则可加入如图电路。 三.FPGA与ADC0809VHDL控制程序 ADC0809的引脚图如下 IN0-IN7模拟量输入通道 ADDA,ADDB,ADDC---输入通道选择地址,按其状态选择输入通道。

数字逻辑课程设计数字时钟课程设计数电课程设计数字电子技术

数字逻辑课程设计 自从它被发明的那天起,就成为人们生活中必不可少的一种工具,尤其是在现在这个讲 究效率的年代,时钟更是在人类生产、生活、学习等多个领域得到广泛的应用。然而随着时 间的推移,人们不仅对于时钟精度的要求越来越高,而且对于时钟功能的要求也越来越多,时钟已不仅仅是一种用来显示时间的工具,在很多实际应用中它还需要能够实现更多其它的

功能。诸如闹钟功能、日历显示功能、温度测量功能、湿度测量功能、电压测量功能、频率测量功能、过欠压报警功能等。钟表的数字化给人们的生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。可以说,设计多功能数字时钟的意义已不只在于数字时钟本身,更大的意义在于多功能数字时钟在许多实时控制系统中的应用。在很多实际应 用中,只要对数字时钟的程序和硬件电路加以一定的修改,便可以得到实时控制的实用系统, 从而应用到实际工作与生产中去。因此,研究数字时钟及扩大其应用,有着非常现实的意义。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路?目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择? 前言 (2) 目录 (2) 题目 (2) 摘要 (2) 关键字 (3) 设计要求 (3) 正文 (3) 1电路结构与原理图 (3) 2数码显示器 (3) 60进制计数和24进制计数 (4) 校时 (7) 振荡器 (8) 3.计算、仿真的过程和结果 (9) 鸣谢 (11) 元器件清单 (11) 参考文献 (11) 总结与体会 (11) 教师评语 (12) 数字时钟的课程设计 摘要: 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高 的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。目前, 数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。本设计采用74LS290. 74LS47.BCD七段数码管和适当的门电路构成,可实现对时、分、秒等时间信息的采集和较时 功能地实现?

数字电路课程设计总结报告

数字电路课程设计总结报告题目:交通灯控制器 班级:08通信工程1班 学号:0810618125 姓名:廖小梅 指导老师:张红燕 日期:2010年12月

目录 1、设计背景 2、设计任务书 3、设计框图及总体描述 4、各单元设计电路设计方案与原理说明 5、测试过程及结果分析 6、设计、安装、调试中的体会 7、对本次课程设计的意见及建议 8、附录 9、参考文献 10、成绩评定表格

一、设计背景 随着经济的快速发展,城市交通问题日益凸显严重,尤其在城市街道的十字叉路口,极其容易发生交通问题,为了保证交通秩序和人们的安全,一般在每条街上都有一组红、黄、绿交通信号灯。交通灯控制电路自动控制十字路口的红、黄、绿交通灯。交通灯通过的状态转换,指挥车辆行人通行,保证车辆行人的安全,实现十字路口交通管理自动化。 二、设计任务书 1、设计一个十字路口的交通灯控制电路,要求南北方向(即 A车道)和东西方向(即B车道)两条交叉道路上的车辆 交替运行,每次通行时间都为30秒; 2、在绿灯转红灯时,先由绿灯转为黄灯,黄灯亮6秒后,再 由黄灯转为红灯,此时另一方向才由红灯转为绿灯,车辆 才开始通行。 三、设计框图及总体描述 1、分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图1所示。它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。

图1交通灯控制系统原理框图 在图中, T30: 表示甲车道或乙车道绿灯亮的时间间隔为30秒,即车辆正常通行的时间间隔。定时时间到,T30 =1,否则,T30 =0。 T6:表示黄灯亮的时间间隔为6秒。定时时间到,T6=1,否则,T6=0。 S T:表示定时器到了规定的时间后,由控制器发出状态转换信号。 由它控制定时器开始下个工作状态的定时。 交通系统的车道信号灯的工作状态转换如下所述: 状态1:A车道绿灯亮,B车道红灯亮。表示A车道上的车辆允许通行,B车道禁止通行。绿灯亮满规定的时间隔T30时, 控制器发出状态信号S T,转到下一工作状态。 状态2:A车道黄灯亮,B车道红灯亮。表示A车道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,B车 道禁止通行。黄灯亮足规定时间间隔TY时,控制器发 出状态转换信号S T,转到下一工作状态。 状态3:A车道红灯亮,B车道黄灯亮。表示A A车道禁止通行,B车道上的车辆允许通行绿灯亮满规定的时间间隔T30 时,控制器发出状态转换信号S T,转到下一工作状态。

数电课程设计多功能数字钟的电路设计

课程设计任务书 学生姓名: XXX 专业班级: 指导教师:工作单位: 题目: 多功能数字钟电路设计 初始条件:74LS390,74LS48,数码显示器BS202各6片,74LS00 3片,74LS04,74LS08各 1片,电阻若干,电容,开关各2个,蜂鸣器1个,导线若干。 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 指导教师签名:年月日 系主任(或责任教师)签名:年月日 多功能数字钟电路设计 摘要 (1) Abstract (2) 1系统原理框图 (3) 2方案设计与论证 (4)

2.1时间脉冲产生电路 (4) 2.2分频器电路 (6) 2.3时间计数器电路 (7) 2.4译码驱动及显示单元电路 (8) 2.5校时电路 (8) 2.6报时电路 (10) 3单元电路的设计 (12) 3.1时间脉冲产生电路的设计 (12) 3.2计数电路的设计 (12) 3.2.1 60进制计数器的设计 (12) 3.2.2 24进制计数器的设计 (13) 3.3译码及驱动显示电路 (14) 3.4 校时电路的设计 (14) 3.5 报时电路 (16) 3.6电路总图 (17) 4仿真结果及分析 (18) 4.1时钟结果仿真 (18) 4.2 秒钟个位时序图 (18) 4.3报时电路时序图 (19) 4.4测试结果分析 (19) 5心得与体会 (20) 6参考文献 (21) 附录1原件清单 (22) 附录2部分芯片引脚图与功能表 (23) 74HC390引脚图与功能表 (23)

数电课程设计报告-数字频率计

数电课程设计报告:频率计 目录 一、设计指标 二、系统概述 1.设计思想 2.可行性论证 3.工作过程 三、单元电路设计及分析 1.器件选择 2.设计及工作原理分析 四、电路的组构及调试 1.遇到的问题 2.现象记录及原因分析 3.解决及结果 4.功能的测试方法、步骤、设备、记录的数据 五、总结 1.体会 2.电路总图 六、参考文献 一、设计指标 设计指标:要求设计一个测量TTL方波信号频率的数字系统。测试值采用4个LED七段数码管显示,并以发光二极管只是测量对象(频率)的单位:Hz、kHz。

频率的测量范围有四档量程。 1)测量结果显示四位有效数字,测量精度为万分之一。 2)频率测量范围:100.1Hz——999.9kHz,分为: 第一档: 100.0Hz——999.9Hz 第二档: 1.000kHz——9.999kHz 第三档: 10.00kHz——99.99kHz 第四档: 100.0kHz——999.9kHz 3)量程切换可以采用两个按键SWB、SWA手动切换。 扩展要求: 一、当被测频率大于999.9kHz,超出最大值时,设置亮一个警灯,并同时发出报警声音。 二、自动切换量程 提示: 1.计数器计到9999时,产生溢出信号CO,启动量程加档。 2.显示不足4位有效数字时量程减档。 三、各量程输出信号的频率最高位有效数字为1、2、3、4、5、6、7、8、9。 二、系统概述 1.设计思想 周期性信号频率可通过记录信号在1s内的周期数来确定其频率。

累计标准时间Ts中被测信号的脉冲个数Nx,被测信号频率:fx≈Nx/Ts 测量时间Ts选择:由于测量时间Ts需要根据被测信号的频率切换,所以通常对振荡时钟进行分频以获得不同的定时时间。 采样定时、显示锁存、计数器清零的控制时序波形图 2.可行性论证 用计数器实现记录周期数的功能;用时基信号产生计数时间作为采样时间;用四位动态扫描通过数码管显示结果;因为如果计数器直接把数据输入到数码管显示,那么数码管的数据就会不断变化,累计增加的情况,所以采用锁存器,在每个时间信号内,通过一个高电平使能有效,将计数器的数值锁存到寄存器或者锁存器;为了不要让每次锁存的数据会比上次

数字电子钟课程设计报告-数电

华东交通大学理工学院课程设计报告书所属课程名称数字电子技术课程设计题目数字电子钟课程设计分院电信分院 专业班级10电信2班 学号20100210410201 学生姓名陈晓娟 指导教师徐涢基 20 12 年12 月18 日

目录 第1章课程设计内容及要求 (3) 第2章元器件清单及主要器件介绍 (5) 第3章原理设计和功能描述 (10) 第4章数字电子钟的实现 (15) 第5章实验心得 (17) 第6章参考文献 (18)

第1章课程设计内容及要求 1.1 数字钟简介 20世纪末,电子技术获得了飞速的发展。在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高、产品更新换代的节奏也越来越快。数字钟已成为人们日常生活中必不可少的生活日用品。广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点。 因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点,电路装置十分小巧,安装使用也方便而受广大消费的喜爱。 1.2 设计目的 1. 掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;

2. 进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力; 3. 提高电路布局,布线及检查和排除故障的能力。 1.3 设计要求 1. 设计一个有“时”、“分”、“秒”(23小时59分59秒)显示,且有校时功能的电子钟。 2. 用中小规模集成电路组成电子钟,并在实验箱上进行组 装、调试。 3. 画出框图和逻辑电路图、写出设计、实验总结报告。 4. 整点报时。在59分59秒时输出信号,音频持续1s,在结束时刻为整点。

#用Verilog语言编写的多功能数字钟

2009—2010学年第二学期 《数字电子技术课程设计》报告 专业班级:电气-08-1 姓名:曹操 学号:08051127 设计日期:2010年8月23日~27日 一.设计题目 多功能数字钟电路设计 二.设计任务及要求 多功能数字钟应该具有的功能有:显示时—分—秒、整点报时、小时和分钟可调等基本功能。整个钟表的工作应该是在1Hz信号的作用下进行,这样每来一个时钟信号,秒增加1秒,当秒从59秒跳转到00秒时,分钟增加1分,同时当分钟从59分跳转到00分时,小时增加1小时,小时的范围为0~23时。 在实验中为了显示的方便,由于分钟和秒钟显示的范围都是从0~59,所以可以用一个3位的二进制码显示十位,用一个四位的二进制码(BCD 码)显示个位,对于小时因为他的范围是从0~23,所以可以用一个2位的二进制码显示十位,用一个4位的二进制码(BCD码)显示个位。

实验中由于七段码管是扫描的方式显示,所以虽然时钟需要的是1Hz 时钟信号,但是扫描需要一个比较高频率的信号,因此为了得到准确的1Hz 信号,必须对输入的系统时钟50Mhz进行分频。 对于整点报时功能,本实验设计的是当进行正点的倒计时5秒时,让LED来闪烁进行整点报时的提示。 调整时间的按键用按键模块的S1和S2,S1调节小时,每按下一次,小时增加一个小时;S2调整分钟,每按下一次,分钟增加一分钟。另外用S8按键作为系统时钟复位,复位后全部显示00—00—00。 管脚分配如下表: 端口名使用模块信号对应FPGA管脚说明 S1 按键开关S1 R16 调整小时 S2 按键开关S2 P14 调整分钟 RST 按键开关S8 M15 复位 LED LED模块D1 L14 整点倒计时 LEDAG0 数码管模块A段N4 时间显示 LEDAG1 数码管模块B段G4 LEDAG2 数码管模块C段H4 LEDAG3 数码管模块D段L5 LEDAG4 数码管模块E段L4 LEDAG5 数码管模块F段K4 LEDAG6 数码管模块G段K5 SEL0 数码管选择位1 M4 8个数码管

数电课设报告

数电课设报告

、 西安电子科技大学 电子技术应用设计课程实验报告实验名称改通用示波器为简易的逻辑分析仪 网络与信息安全学院 1518021 班 姓名 ** 学号 ** 同作者无 实验日期 2017 年 12 月21 25 日 实验地点 E-II-310

一、方案设计报告 1、任务要求 通过扩展示波器的功能,完成简易逻辑分析仪的设计。 2、方案的原理及可行性 A、组成 通用示波器通常由显示器件(阴极射线管)、垂直放大器、触发器 或同步电路、时基、水平放大器、门控放大器、电源等组成,其 框图如下所示。 B、工作原理 被测信号经垂直放大器后加到示波器的垂直(Y轴)的偏转系统, 使电子射线的垂直偏转距离正比于输入信号的瞬时值。在示波管 的水平(X轴)偏转系统上加以随时间线性变化的信号;使电子射 线在水平偏转正比于时间,那么再示波管的屏幕上就得到输入信 号的时间波形。由于水平偏转系统所加线性变化的信号不可能无 限增长,荧光屏的尺寸也有限,故实际线性变化的信号(扫描信 号)是一锯齿波,这样就能使输入信号的时间波形在荧光屏上反 复出现。当锯齿波的重复周期等于输入信号周期(或输入信号周 期的整数倍)时,每次重复出现的波形正好完全重合(同步)就 可看到稳定的波形。 C、双踪示波器 对于双踪示波器,则是由一个电子开关来控制Y轴偏移电压,使

其在第一个扫描周用内接通第一路信号,在第二个扫描周期接通第二路信号(在两个扫描周期可以加入不同的偏移电压),交替进行。这样在屏幕上就可同时看到两个波形。如图所示。实际上示波器是分时工作。 D、示波器功能扩展 根据上述原理,若要示波器能够同时观察多个波形。只需在每个波形加入Y轴放大器(垂直放大器)的同时加一偏移电压,然后调节扫描周明便能得到稳定的多个波形。示波器观察多个波形功能扩展框图如下。

数电课程设计多功能数字钟的设计与实现

课程设计任务书 题目: 多功能数字钟的设计与实现 初始条件: 本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。用数码管显示时间计数值。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个数字钟。要求用六位数码管显示时间,格式为00:00:00。 2)具有60进制和24进制(或12进制)计数功能,秒、分为60进制计数,时为24进制(或12进制)计数。 3)有译码、七段数码显示功能,能显示时、分、秒计时的结果。 4)设计提供连续触发脉冲的脉冲信号发生器, 5)具有校时单元、闹钟单元和整点报时单元。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《******大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2013年 3 月18 日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013 年3 月22日至2013 年5 月10 日,方案选择和电路设计。 3、2013 年5 月25 日至2013 年7 月2 日,电路调试和设计说明书撰写。 4、2013 年7 月5 日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1 引言 (1) 1.1 数字钟简介 (1) 1.2 EWB简介 (1) 2 方案选择 (3) 3 系统框图 (4) 4 分电路设计 (5) 4.1 脉冲产生电路 (5) 4.1.1设计要求 (5) 4.1.2所需元件 (6) 4.1.3元件介绍 (6) 4.1.4参数计算 (7) 4.1.5电路设计 (8) 4.2计数电路 (9) 4.2.1秒电路 (9) 4.2.2分电路 (11) 4.2.3时电路 (13) 4.3显示电路 (14) 4.3.1所需元件 (14) 4.3.2元件介绍 (14) 4.3.3原理说明 (14) 4.3.4电路设计 (15) 4.4整点报时电路 (15)

数字电路课程设计报告

目录 一.课程设计题目 二.设计的任务和要求 三.设计与调试 四.系统总体设计方案及系统框图 五.设计思路 六.电路连接步骤 七.电路组装中发生的问题及解决方案 八.所选方案的总电路图 九.实验结果 十.心得体会

一、课程设计题目 交通灯控制系统设计 二、设计的任务和要求 1)在严格具有主、支干道的十字路口,设计一个交通灯自动控制装置。要求:在十字路口的两个方向上各设一组红黄绿灯;顺序无要求; 2)设置一组数码管,以倒计时的方式显示允许通行或禁止通行时间。红(主:R,支:r)绿(主:G,支:g)黄(主:Y,支:y)三种颜色灯,由四种状态自动循环构成(Gr→Yr→Rg→Ry);并要求不同状态历时分别为:Gr:30秒,Rg:20秒,Yr,Ry:5秒 三、设计与调试 1、按照任务要求,设计电路,计算相关参数,选择电子元器件 2、根据所设计的电路和所选择的器件搭接安装电路 3、接步骤进行调试电路 4、排除故障,最终达到设计要求 四、系统总体设计方案及系统框图 方案一:芯片设计 (1)芯片功能及分配 交通灯控制系统主要由控制器、定时器、译码器、数码管和秒脉冲信号发生器等器件组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。 1)系统的计时器是由74LS161组成,其中应因为绿灯时间为30秒,所以绿灯定时器由两块74LS161级联组成.74LS161是4位二进制同步计数器,它具有同步清零,同步置数的功能。 2)系统的主控制电路是由74LS74组成,它是整个系统的核心,控制信号灯的工作状态。 3)系统的译码器部分是由一块74LS48组成,它的主要任务是将控制器的输出翻译成6个信号灯的工作状态。整个设计共由以上三部分组成。 2)各单元电路的设计: 1. 秒脉冲信号发生器

数电设计数字钟基于QUARTUS

大连理工大学本科实验报告 题目:数电课设——多功能数字钟 课程名称:数字电路课程设计 学院(系):电信学部 专业:电子与通信工程 班级: 学生姓名: *************** 学号:*************** 完成日期: 成绩: 2010 年 12 月 17 日 题目:多功能数字时钟 一.设计要求 1)具有‘时’、‘分’、‘秒’的十进制数字显示(小时从00~23) 2)具有手动校时校分功能 3)具有整点报时功能,从59分50秒起,每隔2秒钟提示一次 4)具有秒表显示、计时功能(精确至百分之一秒),可一键清零 5)具有手动定时,及闹钟功能,LED灯持续提醒一分钟 6)具有倒计时功能,可手动设定倒计时范围,倒计时停止时有灯光提示,可一键清零 二.设计分析及系统方案设计 1. 数字钟的基本功能部分,包括时、分、秒的显示,手动调时,以及整点报时部分。基本模块是由振荡器、分频器、计数器、译码器、显示器等几部分组成。利用DE2硬件中提供的50MHZ晶振,经过分频得到周期为1s的时钟脉冲。将该信号送入计数器进行计算,并把累加结果以“时”“分”“秒”的形式通过译码器由数码管显示出来。 进入手动调时功能时,通过按键改变控制计数器的时钟周期,使用的时钟脉冲进行调时计数(KEY1调秒,LOAD2调分,LOAD3调时),并通过译码器由七位数码管显示。

从59分50秒开始,数字钟进入整点报时功能。每隔两秒提示一次。(本设计中以两个LED灯代替蜂鸣器,进行报时) 2. 多功能数字钟的秒表功能部分,计时范围从00分秒至59分秒。可由输入信号(RST1)异步清零,并由按键(EN1)控制计时开始与停止。 将DE2硬件中的50MHZ晶振经过分频获得周期为秒的时钟脉冲,将信号送入计数器进行计算,并把累计结果通过译码器由七位数码管显示。 3.多功能数字钟的闹钟功能部分,通过按键(KEY1,KEY2,KEY3)设定闹钟时间,当时钟进入闹钟设定的时间(判断时钟的时信号qq6,qq5与分信号qq4,qq3分别与闹钟设定的时信号r6,r5与分信号r4,43是否相等),则以LED灯连续提示一分钟。 4. 多功能数字钟的倒计时功能部分,可通过按键(LOAD7调秒,LOAD8调分,LOAD9调时)设定倒计时开始时刻。倒计时的时钟与数字钟的时钟相同,每迎到一个时钟上升沿,则计数器减一。计数器减至00时,分钟位、秒钟位恢复至59,时钟位恢复至23。倒计时结束时(即00时00分00秒),控制LED灯亮,表示倒计时结束。 本设计通过数据选择器控制译码器,使数码管独立显示,各功能之间互不影响。当LOAD4为高电平,则对秒表信号进行译码,数码管显示秒表数据;当LOAD4为低电平,LOAD5为高电平,则对闹钟信号进行译码,数码管显示闹钟数据;当LOAD4,LOAD5为低电平,LOAD6为高电平,则对倒计时信号进行译码,数码管显示倒计时信号数据;当LOAD4,LOAD5,LOAD6全为低电平,则对正常时钟信号进行译码,数码管显示时钟信号数据。 附图1:系统总体结构框图

彩灯控制器数电课程设计报告

五邑大学 数字电路课程设计报告题目:彩灯控制器 院系信息工程学院 专业电子信息工程 学号 学生姓名 指导教师王玉青

彩灯控制器 一、 设计的要求和意义 1、设计的要求 使用中小型集成电路和门电路设计彩灯控制器要求完成以下功能: ● 使用555定时器产生一个10Hz 的周期信号作为时钟信号 ● 显示方式由L0到L7逐行递增点亮 可选用的器件与元件: 74LS138、NE555、74LS161各1块,发光二极管8支,电阻、电容任选。 2、设计的意义 掌握555多谐振荡器、译码器、十六进制加/减计数器的逻辑功能和工作原理,设计可改变流速的流水灯电路图,分析与设计时序控制电路。利用所学过的知识,通过设计计算﹑元件选取﹑电路板制作调试等环节,初步掌握工程设计的技能。掌握常用仪表的正确使用方法,学会简单电路的实验调试和整机指标测试方法,巩固和加深对数字逻辑电路的理论知识,锻炼动手能力。了解与课程有关的电子电路以及元器件工程技术规范,能按课程设计任务书的技术要求,编写设计说明,能正确反映设计和实验成果,能正确绘制电路图。 二、 硬件电路设计 1、方案设计 本设计采取的方案是:用NE555一个、74LS161一片、74LS138一片、74LS04一片、电阻、电容、发光二极管和门电路。由555多谐振荡器提供时钟脉冲,74LS161二进制加/减计数器一片用来计数和输出信号,通过译码器74LS138直接输出控制彩灯。如图1所示: 2、555多谐振荡器电路设计 555多谐振荡器的电路连接方式已确定的,只需根据所要产生的频率来确定外围的电阻和电容的大小。 电阻R1和R2的确定: 因为要产生10Hz 的时钟信号,先假定电容C 的值为0.1uF ,再根据公式: ) 1(2 ln )221(1 ??????+= C R R f 555多谐振荡器 计数器 译码器 彩灯 非门

数字电子技术课设(数字钟)

数字电子技术课程设计报告题目:多功能数字钟课程设计 学年:2007 学期:第二学期 专业:自动化班级:0703 学号:姓名:刘磊 指导教师及职称:魏巍 时间:2009年7月9日 太原理工大学现代科技学院

数字电子技术课程设计报告 一、设计目的 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法. 二、设计要求 (1)设计指标 ①时间以12小时为一个周期; ②显示时、分、秒; ③具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; ④计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时; ⑤为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。 (2)设计要求 ①画出电路原理图(或仿真电路图); ②元器件及参数选择; ③电路仿真与调试; ④PCB文件生成与打印输出。 (3)制作要求自行装配和调试,并能发现问题和解决问题。 (4)编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 三、原理框图 1.数字钟的构成 数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。

多功能数字钟的电路设计-数电课程设计报告

吉林建筑大学 电气与计算机学院 数字电子技术课程设计报告 设计题目:多功能数字钟的电路设计专业班级:自动化141 学生姓名: 学号: 指导教师: 设计时间:2016.06.20-2016.07.01

多功能数字钟的电路设计报告 一、设计任务及要求 本课程设计的基本任务,通过指导学生循序渐进地独立完成数字电路的设计任务,加深学生对理论知识的理解,有效地提高了学生的动手能力,独立分析问题、解决问题能力,协调能力和创造性思维能力。侧重提高学生在数字电路应用方面的实践技能,树立严谨的科学作风,培养学生综合运用理论知识解决实际问题的能力。学生通过电路的设计、安装、调试、整理资料等环节,初步掌握工程设计方法和组织实践的基本技能,逐步熟悉开展科学实践的程序和方法。 设计要求: 1.时钟显示功能,能够以十进制显示“时”、“分”、“秒”。 2.具有校时功能,可分别对“时”、“分”进行单独校时。 3.能用硬件成功实现以上各功能。 4.具有整点自动报时功能,整点前的6s自动发出鸣叫声,步长1s,每1s 鸣叫一次,前五响是低音,最后一响为高音。 二、设计的作用、目的 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。掌握数字钟的设计、组装与调试方法。熟悉集成电路的使用方法。 三、设计过程 1.方案设计与论证 1.1系统设计思路 能按时钟功能进行小时、分钟、秒计时,能调时调分,能整点报时,使

数字钟设计(数电)

课程设计任务书 课题名称:数字时钟电路 设计内容与要求: (1)准确计时,以数字形式显示时、分、秒的时间; (2)具有手动校时、校分功能,分别可以对时和分进行校正; (3)计时过程具有整点报时功能,当时间到达整点前60秒开始,蜂鸣器1秒响一次; 技术条件与要求: (1)计时电路分和秒采取60进制,时采取24进制; (2)整点报时电路采用了74LS20. 指导教师签名:教研室主任签名:讲师 发题日期:年月日完成日期:年月日 年月日

摘要 在生活中的各种场合经常要用到电子钟,现代电子技术的飞跃发展各类智能化产品脱颖而出,数字电路具有电路简单、可靠性高、成本低等优点,本设计重点以数字电路为核心设计智能电子钟。 本设计电路由计时电路、控制电路、显示电路等部分组成,在数码管上显示24小时计时的时刻,具有清零、保持、校时、报时功能。 关键字数字钟;计数器;显示器;校准;整点报时

目录 1 数字时钟概述 (4) 2 电路设计流程图 (5) 3 电路工作原理及分析 (6) 3.1核心芯片74LS90介绍 (6) 3.2计数电路设计与仿真 (8) 3.2.1 个位向十位进制的实现 (8) 3.2.2 六十进制的实现 (9) 3.2.3 秒向分进位的实现 (10) 3.2.4 计时电路的实现 (11) 3.3校时及整点报时电路的实现 (12)

1 数字时钟概述 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性等优点,数字钟从原路上讲是一种典型的数字电路,其中包括了组合逻辑和时序逻辑。 数字钟是采用数字电路实现对时、分、秒数字显示的计时装置,广泛用于个人家庭办公、车站、办公室等公共场合,成为人们日常生活中不可缺少的物品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度远远超过老式钟表,钟表的数字化给人们生活带来了极大的方便,而且扩展了报时功能。

相关主题
文本预览
相关文档 最新文档