当前位置:文档之家› 硬件设计开发指导(完整版)

硬件设计开发指导(完整版)

硬件设计开发指导(完整版)
硬件设计开发指导(完整版)

文档名称文档范围

硬件设计开发指导内部公开

文档编号共14

DS301

硬件设计开发指导

拟制焦少波日期2016-12-01 评审人日期

批准日期

免费共享

修订记录

日期修订版本描述作者2016-12-01 1.0.0 初稿完成焦少波

目录

硬件设计开发指导 (1)

1 概述 (5)

1.1 硬件开发过程简介 (5)

1.1.1 硬件开发的基本过程 (5)

1.1.2 硬件开发的规范化 (5)

1.2 硬件组成员职责与基本技能 (5)

1.2.1 硬件组成员职责 (5)

1.2.2 硬件组成员基本技能 (6)

2 硬件开发流程及要求 (6)

2.1 硬件开发流程 (6)

2.2 硬件需求分析及总体方案制定 (7)

2.2.1 硬件需求分析 (7)

2.2.2 总体方案制定 (8)

2.3 单板设计方案及单板详细设计 (9)

2.3.1 单板设计方案及评审 (9)

2.3.2 单板详细设计及评审 (9)

2.4 原理图设计及 PCB 设计 (11)

2.4.1 原理图设计及评审 (11)

2.4.2 PCB 方案设计及评审 (11)

2.4.3 PCB 设计及投板申请 (11)

2.5 调试及验收 (12)

2.5.1 调试方案及评审 (12)

2.5.2 硬件调试、软件调试及系统联调 (12)

2.5.3 验收 (13)

2.6 开发文档规范及归档要求 (13)

2.6.1 开发文档规范 (13)

2.6.2 硬件信息库 (14)

硬件设计开发指导

关键词:能够体现文档描述内容主要方面的词汇。

摘要:

缩略语清单:对本文所用缩略语进行说明,要求提供每个缩略语的英文全名和中文解释。

缩略语英文全名中文解释

1概述

1.1 硬件开发过程简介

1.1.1 硬件开发的基本过程

产品硬件项目的开发,首先是要明确硬件总体需求情况,如 CPU处理能力、存储容量及速度, I/O 端口的分配、接口要求、电平要求、特殊电路(厚膜等)

要求等等。其次,根据需求分析制定硬件总体方案,寻求关键器件及其技术资料、技术途径、技术支持,要比较充分地考虑技术可能性、可靠性以及成本控制,并对开发调试工具提出明确的要求,关键器件索取样品。第三,总体方案确定且评审通过后,撰写硬件和单板软件的详细设计,包括绘制硬件原理图、单板软件功能框图及编码、 PCB布线,同时完成开发物料清单、新器件编码申请、物料申领等工作。第四, PCB裸板回板及物料采购到货后由焊工焊好 1~2块单板,作单板调试,对原理设计中的各功能进行调测,必要时修改原理图并作记录。第五,软硬件系统联调,一般的单板需硬件人员、单板软件人员的配合,特殊的单板(如主机板)需比较大型软件的开发,参与联调的软件人员更多。一般地,经过单板调试后在原理及 PCB布线方面有些调整,需经过多次投板迭代测试。第六,内部验收及转中试,硬件项目完成开发过程。

1.1.2 硬件开发的规范化

上节硬件开发的基本过程应遵循硬件开发流程规范文件执行,不仅如此,硬件开发涉

及到技术的应用、器件的选择等,必须遵照相应的规范化措施才能达到质量保障的要求。

这主要表现在,技术的采用要经过项目组的评审,器件和厂家

的选择要参照物料认证的相关要求和规范,开发过程完成相应的规定文档,另外,常用的硬件电路要采用通用的标准设计。

1.2 硬件组成员职责与基本技能

1.2.1 硬件组成员职责

一个技术领先、运行可靠的硬件平台是公司产品质量的基础,硬件工程师

职责神圣,责任重大。

1、硬件工程师应勇于尝试新的先进技术之应用,在产品硬件设计中大胆创新。

2、坚持采用开放式的硬件架构,把握硬件技术的主流和未来发展,在设计中考虑

将来的技术升级。

3、充分利用公司现有的成熟技术,保持产品技术上的继承性。

4、在设计中考虑成本,控制产品的性能价格比达到最优。

5、技术开放,资源共享,促进我司整体的技术提升。

1.2.2 硬件组成员基本技能

硬件工程师应掌握如下基本技能:

第一、具备需求分析、总体方案设计、详细设计的创造能力;

第二、熟练运用设计工具,如Cadence OrCAD/Allegro 、Auto CAD 等,设计

原理图、 EPLD、 FPGA调试程序的能力;

第三、熟练运用信号发生器、示波器、逻辑分析仪等测试仪器,有一定硬

件调测的能力;

第四、掌握常用的标准电路的设计能力;

第五、硬件故障定位、解决问题的能力;

第六、各种技术文档的写作技能;

第七、良好的职业素养和职业道德,接触外协合作方,保守秘密的能力。

2硬件开发流程及要求

2.1 硬件开发流程

硬件开发流程对硬件开发的全过程进行了科学分解,规范了硬件开发的五大关键任务:硬件需求分析及总体方案制定

单板设计方案及单板详细设计

原理图设计及 PCB设计

调试及验收

免费共享第 6页,共 14页

开发文档规范及归档要求

硬件开发流程是指导硬件工程师按规范化方式进行开发的准则,不但规范化了硬件

开发的全过程,同时也从总体上,规定了硬件开发所应完成的各阶段

任务。目的是规范硬件开发过程控制,保证硬件开发质量,确保硬件开发能按预定目标完成。做为一名硬件工程师深刻领会硬件开发流程中各项内容,在日常

工作中自觉按流程办事,是非常重要的,否则若大一个公司就会走向混乱。所有硬件工程

师应把学流程、按流程办事、发展完善流程、监督流程的执行作为自己的一项职责,为公

司的管理规范化做出贡献。

2.2 硬件需求分析及总体方案制定

2.2.1 硬件需求分析

硬件开发真正起始应在立项后,即接到项目立项任务书后,但在实际工作中,一般在项目立项之前,硬件工程师即协助开展前期调研,尽早了解明确总体需求,如系统功能、性能指标、工作原理、环境指标、结构条件、价格、设计时间、产

品寿命等。立项完成后,项目组就已有了产品需求说明书及项目总体方案书,这些文件都

已进行过评审。项目组接到任务后,首先要做的硬件开发工作就是要进行硬件需求分析,

撰写《硬件需求说明书》。硬件需求分析在整个产品开发过程中是非常重要的一环,硬件

开发人员必须重视该过程。

一项产品的功能 /性能往往是由软件和硬件共同完成的,哪些是由硬件完成,哪些是由软件完成,项目组必须在需求分析时加以细致考虑。硬件需求分析还可

以明确硬件开发任务,并从总体上论证现在的硬件水平,包括公司的硬件技术水平是否能

满足需求。

硬件需求说明书主要有下列内容:

系统工程组网及使用说明

基本配置及其互连方法

运行环境

硬件整体系统的基本功能和主要性能指标

硬件分系统的基本功能和主要功能指标

功能模块的划分

关键技术的攻关

外购硬件的名称型号、生产单位、主要技术指标

主要仪器设备

内部合作,对外合作,国内外同类产品硬件技术介绍可靠

性、稳定性、电磁兼容讨论电源、工艺结构设计

硬件测试方案

2.2.2 总体方案制定

硬件需求分析完成后,项目组即可进行硬件总体设计,并撰写《硬件总体

设计方案》。硬件总体设计的主要任务就是从总体上进一步划分各单板的功能以

及硬件的总体结构描述,规定各单板间的接口及有关的技术指标。不但给出项目硬件开发总的任务框架,也引导项目组对开发任务有更深入和具体的分析,更好地来制定开发计划。

硬件总体设计方案主要有下列内容:

系统功能及功能指标

系统总体结构图及功能划分

单板命名

系统逻辑框图

组成系统各功能块的逻辑框图,电路结构图及单板组成单板逻

辑框图和电路结构图关键技术讨论

关键器件

综上可见,硬件开发总体方案,把整个系统进一步具体化,硬件开发总体

设计是最重要的环节之一,总体设计做不好,可能出现致命的问题,造成的损失多数是无法挽回的。另外,总体方案设计对各个单板的任务以及相关的关系进一步明确,单板的设计要以总体设计方案为依据。而产品的好坏特别是系统的设计合理性、科学性、可靠性、稳定性与总体设计关系密切。

硬件需求分析和硬件总体设计完成后,项目组要组织相关人员分别对其进

行评审。一个好的产品,特别是大型复杂产品,总体方案进行反复论证是不可缺少的。只有

经过多次反复论证的方案,才可能成为好方案。总体方案评审包括两部分,一是对有关文档

的格式,内容的科学性,描述的准确性以及详简情况进行审查。再就是对总体方案设计中技

术合理性、可行性等进行审查。如果评审不能通过,项目组必须对自己的方案重新进行修订。

硬件总体设计方案通过后,即可着手关键器件的申购,主要工作由项目组来完成,

关键元器件往往是一个项目能否顺利实施的重要目标。

2.3 单板设计方案及单板详细设计

2.3.1 单板设计方案及评审

对于复杂的系统将硬件总体设计方案和单板设计方案分两次进行评审,而简单的项目

(如一块功能单板)可以将硬件总体方案和单板设计方案合并为一个文档进行评审。单板

设计方案主要包括下列内容:

单板在整机中的的位置:单板功能描述

单板尺寸

单板逻辑图及各功能模块说明

单板软件功能描述

单板软件功能模块划分

接口定义及与相关板的关系

重要性能指标、功耗及采用标准

开发用仪器仪表等

完成方案设计后需要申请方案评审。只有单板设计方案通过后,才可以进行单板详

细设计。

2.3.2 单板详细设计及评审

单板设计方案评审通过后,硬件工程师需要根据分系统指标及硬件工作原

理完成详细实施方案设计,详细说明硬件功能模块的划分、各功能模块的指标、

功能模块的详细设计、元器件选择及性能、设计依据及工作原理,需要阐述清楚

分系统是如何满足分系统设计指标的。详细方案设计还需要对应用到一些的关键

技术进行论证,确定其可行性。

单板详细设计包括两大部分:

单板硬件详细设计

在单板硬件进入到详细设计阶段,应提交单板硬件详细设计报告。在单板

硬件详细设计中应着重体现:单板逻辑框图及各功能模块详细说明,各功能模块

实现方式、地址分配、控制方式、接口方式、存贮器空间、中断方式、接口管脚

信号详细定义、时序说明、性能指标、指示灯说明、外接线定义、可编程器件图、

功能模块说明、原理图、详细物料清单以及单板测试、调试计划。有时候一块单

板的硬件和软件分别由两个开发人员开发,因此这时候单板硬件详细设计便为软

件设计者提供了一个详细的指导,因此单板硬件详细设计报告至关重要。尤其是地址分配、控制方式、接口方式、中断方式是编制单板软件的基础,一定要详细

写出。

单板软件详细设计

在单板软件设计完成后应相应完成单板软件详细设计报告,在报告中应列

出完成单板软件的编程语言,编译器的调试环境,硬件描述与功能要求及数据结

构等。要特别强调的是:要详细列出详细的设计细节,其中包括中断、主程序、

子程序的功能、入口参数、出口参数、局部变量、函数调用和流程图。在有关通

讯协议的描述中,应说明物理层,链路层通讯协议和高层通讯协议由哪些文档定

义。

单板软、硬件详细设计,要遵循公司的硬件设计技术规范,必须对物料选用、成本

控制等加以重视。

阶段完成标志:《单板硬件详细设计》、《单板软件详细设计》及关键(主要)器

件订货清单。

详细设计报告必须经过评审通过。要由项目组召集相关人员进行评审,在

申请评审前,应首先完成文档规范自检,并将规范完成情况表和评审文档、主要元器件订货清单一并交予项目组申请评审。如果评审通过,方可进行 PCB板设计,如果通不过,则返回硬件需求分析,重新进行整个过程。这样做的目的在于让项目组重新审查一下,某个单板详细设计通不过,是否会引起项目整体设计的改动。

2.4 原理图设计及 PCB 设计

2.4.1 原理图设计及评审

原理图设计开始于硬件详细设计评审通过,原理图设计是硬件设计的首要

步骤。原理图设计之前,首先要对元器件建库,参照“ 3.2 中心库设计规范”,还应根据任务需求对一些关键信号进行布线前仿真,以确定是否需要对这些信号进行特殊处理,如增加匹配电阻或者电容等。

在原理图绘制完成后,硬件组应组织项目组相关人员进行评审。评审时需

要对设计中的关键点进行审核,如时钟单元、电源单元、 DSP或 FPGA等关键器件的配置等。原理图评审通过后,经项目负责人签字批准方可进入 PCB设计工作。

2.4.2 PCB 方案设计及评审

在开始 PCB物理实现(布线)之前,首先需要对 PCB进行方案设计。 PCB 设计方案主要考虑其结构特点,电磁兼容性、信号完整性、电源完整性、热设计、可制造性、可调试性等特点,完成 PCB的布局。其中部分工作如信号完整性、电源完整性及热设计可与硬件详细设计交叉进行。 PCB设计方案应对原理图中关键指标(阻抗、时延、抗干扰等)是如何实现的提出具体措施。

完成标志:《 PCB设计方案》,《硬件测试方案》,《信号完整性仿真报告》。

完成 PCB方案设计及与原理图的反馈后,可以申请方案评审。在申请评审前,应首先完成文档规范自检,并将规范完成情况表和评审文档一并交予项目组申请评审。所有文档需项目恶人签字批准。方案通过后,方可进行 PCB布线工作。

2.4.3 PCB 设计及投板申请

PCB设计须按照 PCB设计规范进行, PCB布局确定之后,总的布局规划禁止改动,功能模块内可以进行位置调整,如功能模块进行较大调整,须向组里提出

申请组织讨论,讨论通过后方可进行布线工作。

在 PCB投产前设计者要向项目组提出投板申请。首先由硬件组负责PCB设计规范的检查,并给出规范完成情况表与PCB图一并交予室里,由设计组组织人员对关键部分的设计要求进行评审检查。PCB投板申请需由项目负责人签字批准。

2.5 调试及验收

2.5.1 调试方案及评审

硬件调试是对硬件的功能和性能进行验证,保证其设计正确性,认真细致

的调试可以发现单板以及整体设计的不足,也是验证设计目标是否达成的唯一方

法。

PCB进行方案设计时,就需要进行硬件调试(测试)方案的设计,需要根

据《硬件需求说明书》和《硬件总体设计方案》中的指标要求制定调试(测试)

方案,同时制定调试(测试)详细记录表,对整个调试过程进行实时记录。

印制板正式调试之前,需要对硬件调试(测试)方案进行评审,也可在 PCB

方案设计评审时同时进行。如简单的印制板设计,调试(测试)方案可以与 PCB 设计方案合并

为一个文件。

2.5.2 硬件调试、软件调试及系统联调

硬件调试过程中,每次所投 PCB板,工程师应提交一份过程文档,以便管理阶层了解进度,进行考评,另外也给其他相关工程师留下一份有参考价值的技术文档。每次所投 PCB板时应制作此文档。这份文档应包括以下内容:单板硬件功能模块划分,单板硬件各模块调试进度,调试中出现的问题及解决方法,原始数据记录、系统方案修改说明、单板方案修改说明、器

件改换说明、原理图、PCB图修改说明、可编程器件修改说明、调试工作阶段总结、调试进展

说明、下阶段调试计划以及测试方案的修改等。

软件调试过程中,每月收集一次单板软件过程调试文档,或调试完毕(指不满一月)

收集,尽可能清楚,完整列出软件调试修改过程。单板软件过程调试

文档应当包括以下内容:单板软件功能模块划分及各功能模块调试进度、单板软件调试出现

问题及解决、下阶段的调试计划、测试方案修改。

系统联调过程中,应出单板系统联调报告。单板系统联调报告包括这些内

容:系统功能模块划分、系统功能模块调试进展、系统接口信号的测试原始记录

及分析、系统联调中出现问题及解决、调试技巧集锦、整机性能评估等。

在单板调试完之后,申请内部验收之前,应先进行自测以确保每个功能都

能实现,每项指标都能满足。自测完毕应出单板硬件测试文档,单板硬件测试文

档包括以下内容:单板功能模块划分、各功能模块设计输入输出信号及性能参数、

各功能模块测试点确定、各测试参考点实测原始记录及分析、板内高速信号线测

试原始记录及分析、系统 I/O口信号线测试原始记录及分析,整板性能测试结果

分析。

2.5.3 验收

由于现在软硬件联系密切,无法简单的区别硬件与软件的工作,因此硬件

验收的界定较为复杂。一般来说硬件调试需要完成的工作有如下几方面内容:电源调试、时

钟源调试、各功能电路工作正常、可编程器件可正常工作、 CPU工作自启动正常以及输入输出

指标正常(需要编写接口控制程序)等。硬件验收即需要硬件设计人员提供上述各方面的调

试(测试)记录,记录数据和实际测试数据达到任务要求方可通过硬件验收。

对于某些硬件需要与系统联调以验证功能和性能的,需要硬件设计人员与总体协调。

2.6 开发文档规范及归档要求

2.6.1 开发文档规范

为规范硬件开发过程中文档的编写,明确文档的格式和内容,规定硬件开发过程中所需

文档清单,与《硬件开发流程》对应制定了《硬件开发文档编制规范》。开发人员在写文档时

往往会漏掉一些该写的内容,编制规范在开发人员写文档时也有一定的提示作用。《硬件开

发文档编制规范》适用于硬件相关项目的开发阶段及测试阶段的文档编制。

规范中共列出以下文档的规范:

硬件需求说明书

硬件总体设计方案

单板设计方案

单板硬件详细设计

单板软件详细设计

免费共享第13页,共 14页

单板硬件过程调试文档

单板软件过程调试文档

单板系统联调报告

单板硬件测试文档

单板硬件归档详细文档

单板软件归档详细文档

硬件总体方案归档详细文档

硬件单板方案归档详细文档

硬件信息库

这些规范的具体内容可在项目共享资料库中找到,对应每个文档规范都有相应的模板可供开发人员在写文档时参考使用。

2.6.2 硬件信息库

为了共享技术资料,我们希望建立一个共享资料库,每一块单板都可以将

的最有价值最有特色的资料归入此库。硬件信息库包括以下内容:典型应用电路、

特色电路、特色芯片技术介绍、特色芯片的使用说明、驱动程序的流程图、源程

序、相关硬件电路说明、 PCB布板注意事项、单板调试中出现的典型及解决、软

硬件设计及调试技巧。

硬件电路板设计规范标准

0目录 0目录 (2) 1概述 (4) 1.1适用范围 (4) 1.2参考标准或资料 (4) 1.3目的 (5) 2PCB设计任务的受理和计划 (5) 2.1PCB设计任务的受理 (5) 2.2理解设计要求并制定设计计划 (6) 3规范内容 (6) 3.1基本术语定义 (6) 3.2PCB板材要求: (7) 3.3元件库制作要求 (8) 3.3.1原理图元件库管理规范: (8) 3.3.2PCB封装库管理规范 (9) 3.4原理图绘制规范 (11) 3.5PCB设计前的准备 (12) 3.5.1创建网络表 (12) 3.5.2创建PCB板 (13) 3.6布局规范 (13) 3.6.1布局操作的基本原则 (13) 3.6.2热设计要求 (14) 3.6.3基本布局具体要求 (16) 3.7布线要求 (24) 3.7.1布线基本要求 (27) 3.7.2安规要求 (30)

3.8丝印要求 (32) 3.9可测试性要求 (33) 3.10PCB成板要求 (34) 3.10.1成板尺寸、外形要求 (34) 3.10.2固定孔、安装孔、过孔要求 (36) 4PCB存档文件 (37)

1概述 1.1 适用范围 本《规范》适用于设计的所有印制电路板(简称PCB); 规范之前的相关标准、规范的内容如与本规范的规定相抵触的,以本规范为准。 1.2 参考标准或资料 下列标准包含的条文,通过在本标准中引用而构成本标准的条文。在标准出版时,所示版本均为有效。所有标准都会被修订,使用本标准的各方应探讨,使用下列标准最新版本的可能性: GB/4588.3—88 《印制电路板设计和使用》 Q/DKBA-Y001-1999《印制电路板CAD工艺设计规范》 《PCB工艺设计规范》 IEC60194 <<印制板设计、制造与组装术语与定义>> (Printed Circuit Board design manufacture and assembly-terms and definitions) IPC—A—600F <<印制板的验收条件>> (Acceptably of printed board) IEC60950 安规标准 GB/T 4677.16-1988 印制板一般检验方法

项目开发流程输出文件清单

技术文件提交清单 1. APQP 标题 计划和项目的先期策划子标题 1.1.1 项目覆盖的产品图纸(2D,3D) 1.1.2 APQP项目策划计划表子标题 1.1. 2.1 项目开发建议和申请书、批准书项目经理提供1.1.2.2 多方论证CFT小组成员及职责 1.1. 2.3 市场调研报告项目经理提供1.1.2.4 技术标准资料清单 1.1. 2.5 顾客的技术要求项目经理提供1.1.2.6 同类产品质量报告 1.1. 2.7 新产品开发设计目标 1.1. 2.8 产品初始材料明细 1.1. 2.9 产品和过程特殊特性 1.1. 2.10 过程流程图 1.1. 2.11 新产品设备/工装/专用量具清单 1.1. 2.12 生产能力分析 1.1. 2.13 所需设备初步清单 1.1. 2.14 项目投资预算 1.1. 2.15 可行性报告 1.1. 2.16 设计和开发评审记录表 1.1. 2.17 管理者支持的批准文件 1.2. 产品试制过程子标题 1.2.1 过程开发计划 1.2.2 产品的模具设计图纸和数据(2D,3D) 1.2.3 模具试制进度计划表 1.2.4 采购目录 1.2.5 产品、材料试验清单 1.2.6 小组可行性承诺 1.2.7 过程流程图 1.2.8 生产场地平面布置图 1.2.9 潜在失效模式及后果分析 1.2.10 控制计划 1.2.11 工序能力分析计划

1.2.12 MSA分析计划 1.2.13 主要设备清单 1.2.14 人员培训申请单 1.2.15 培训记录行政部提供 1.2.14 产品包装标准规范营业部提出要求1.2.15 管理者支持 1.2.16 潜在失效模式及后果分析 1.2.17 控制计划 1.2.18 作业指导书 1.2.19 检验指导书 1.3 试生产过程子标题 1.3.1 试生产计划 1.3.2 生产日期及生产数量的确定 1.3.3 产品/过程质量评审 1.3.4 试生产总结-批准正式批量投产 1.3.5 产品质量策划总结和认定 1.3.6 管理者支持的批准文件 2 MSA测量系统的统计与分析子标题 2.1MSA分析计划品质部提供 2.2测量系统分析报告品质部提供 3潜在失效模式及后果分析(PFMEA) 4PPAP 子标题 4.1 过程流程图 4.2 作业指导书 4.3 产品检验标准(检验指导书) 4.4 潜在失效模式及后果分析(PFMEA) 4.5 控制计划 4.6 零件提交保证书 4.6 客户认可接收的文件客户提供 5SPC过程控制统计子标题 5.1PPK过程能力指数分析品质部提供5.2CPK制成能力控制指数分析品质部提供

硬件开发管理办法及流程图

硬件开发管理流程 1目的 1.1使开发人员的开发工作能够按照一定的程序进行,保证开发工作的顺 利进行。 1.2使开发工作的管理流程化,保证开发产品的品质。 1.3确保有较高的开发与管理效率。 2范围 2.1本流程适用于硬件部产品硬件开发过程。 3职责 3.1由硬件部负责产品的硬件开发,修正及发行相关文件。 3.2由品管部负责产品开发过程的审核、监督与产品质量的控制、评定。4定义 4.1PCB:Printed Circuit Board印刷电路板 4.2BOM:Bill Of Material 材料表 5程序 5.1新产品硬件开发程序 5.1.1接收新需求 5.1.1.1由市场部提交已通过可行性分析的《客户需求明细》。 5.1.2硬件部针对客户产品需求进行详细硬件参数分析,制定设计方案 与规划,并填写《硬件开发设计规划》 5.1.3原理图设计 5.1.3.1硬件部完成产品原理图设计。 5.1.3.2同部门相关人员负责原理图设计的检查与审核,如不通过 则进行修改,并填写《硬件设计记录表》。 5.1.4PCB设计 5.1.4.1硬件部依据本公司PCB设计规范完成PCB图设计。 5.1.4.2同部门相关人员负责PCB设计的检查与审核,如不通过则 进行修改,并填写《硬件设计记录表》。 5.1.5PCB光绘文件设计 5.1.5.1PCB设计完成并通过审核后,出相应光绘文件。 5.1.5.2同部门相关人员负责光绘文件的检查与审核,如不通过则 进行修改,并填写《硬件设计记录表》。 5.1.6BOM表设计 5.1. 6.1根据原理图出相应产品BOM表。 5.1. 6.2同部门相关人员负责BOM表的检查与审核,如不通过则进 行修改,并填写《硬件设计记录表》。 5.1.7PCB打样,申请器件样片 5.1.7.1硬件部将PCB光绘文件及《PCB制作申请表》交至采购部 门联系安排PCB板打样。 5.1.7.2硬件部到材料库领用配套调试所需的器件,如材料库没有 的,硬件部将欠缺的器件清单交至采购部进行采购。 5.1.8焊接与装配样板 5.1.8.1PCB打样完成后,硬件部负责完成样板的器件焊接与装配。

硬件设计规范

XXX电子有限公司 XXX电子硬件设计规范 V1.2

xxx 电子有限公司发布 1.目的: 为规范硬件设计、保证产品质量和性能、减少各类差错,特制定本规范。 2.适用范围 XXX公司自行研发、设计的各类产品中硬件设计的全过程,各部门涉及到有关内容者均以此规范为依据。 3.文档命名规定 硬件设计中涉及各种文档及图纸,必须严格按规则命名管理。由于XXX公司早期采用的 6.01设计软件不允许文件名超过8个字符,故文件名一直规定为8.3模式。为保持与以前文件 的兼容,本规范仍保留这一限制,但允许必要情况下在文件名后面附加说明性文字。 3.1.原理图 3.1.1.命名规则 原理图文件名形如 xxxxYmna.sch 其中xxxx:为产品型号,由4位阿拉伯数字组成,型号不足4位的前面加0。 Y:为电路板类型,由1位字母组成,目前已定义的各类板的字母见附录1。 m:为文件方案更改序号,表示至少有一个电路模块不同的电路方案序号,不同方案的电路可同时在生产过程中流通,没有互相取代关系。 n:一般为0,有特殊更改时以此数字表示。 a:为文件修改序号,可为0-z,序号大的文件取代序号小的文件。 例如:1801采用SSM339主控芯片的主板原理图最初名为1801M001.SCH,进行电路设计改进后为1801M002.SCH、1801M003.SCH等;改为采用AK1020主控芯片后名为1801M101.SCH,在此基础上的改进版叫1801M102.SCH、1801M103.SCH等。 3.1.2.标题框 原理图标题框中包含如下各项,每一项都必须认真填写: 型号(MODEL):产品型号,如1801(没有中间的短横线); 板名(BOARD):电路板名称,如MAIN BOARD、FRONT BOARD等; 板号(Board No.):该电路板的编号,如1801100-1、1801110-1等,纯数字表示,见“3.2.2.”; 页名(SHEET):本页面的名称,如CPU、AUDIO/POWER、NAND/SD等; 页号(No.):原理图页数及序号,如1 OF 2、2 OF 2等; 版本(REV.):该文件修改版本,如0.1、0.11、1.0等,正式发行的第一版为V1.0; 日期(DATE):出图日期,如2009.10.16等,一定要填出图当天日期; 设计(DESIGN):设计人,由设计人编辑入标题框; 审核(CHECK):审核人,需手工签字; 批准(APPROVE):批准人,需手工签字。 3.2.PCB图 3.2.1.命名规则 PCB文件除后缀为.PCB外,文件名主体及各字段的意义与对应的原理图文件完全相同。 注意:PCB图更改后,即便原理图没有变动,也必须更改原理图文件名,使二者始终保持这种对应关系。

USRC215wifi模块硬件设计手册

USR-C215 wifi模块硬件设计手册

目录 1. 产品概述 (3) 1.1产品简介 (3) 1.2引脚描述 (3) 1.3尺寸描述 (4) 1.4 开发套件 (5) 2.硬件参考设计 (6) 2.1典型应用硬件连接 (6) 2.2电源接口 (6) 2.3 UART接口 (7) 2.4复位控制和恢复出厂设置控制 (8) 2.5天线 (8) 3.免责声明 (9) 4.更新历史 (9) 附件1:评估板原理图 (9)

1.产品概述 1.1产品简介 USR-C215模块硬件上集成了MAC、基频芯片、射频收发单元、以及功率放大器;内置低功耗运行机制,可以有效实现模块的低功耗运行;支持WiFi协议以及TCP/IP协议,用户仅需简单配置,即可实现UART设备的联网功能。尺寸较小,易于组装在客户产品的硬件单板电路上,且模块可选择内置或外置天线的应用,方便客户多重选择。 1.2引脚描述 下图为USR-C215的引脚对应图: 图1 USR-C215 引脚图

表1 USR-C215模块管脚说明 注:在信号类型中,P表示电源,I表示输入,O表示输出,N表示不可用 管脚名称信号类型说明 1 GND P 电源地 2 VDD P 电源正极,3.3V 3 RELOAD I 拉低1-3秒是启动simplelink配置,3S以上是恢复出厂设置 4 RESET I 模块复位,低电平有效 5 UART_RX I 串口接收引脚 6 UART_TX O 串口发送引脚 7 PWR_SW N 悬空,不可用 8 WPS N 悬空,不可用 9 READY O 模块工作正常指示引脚,低有效,可外接LED 10 nLINK O 模块WiFi连接指示引脚,低有效,可外接LED 1.3尺寸描述 外形尺寸为22.0*13.5mm,误差为±0.2mm.引脚尺寸如图2 图2 外形尺寸图

M6312硬件设计手册

M6312硬件设计手册 GSM系列 版本:V006 日期:2017-07-20 中移物联网有限公司 https://www.doczj.com/doc/b68508874.html,

V0012016-12-13 张乐原始版本 V0022017-03-27 张乐修改RI引脚描述 V0032017-04-01张乐修改EMERG_OFF引脚定义 V0042017-4-14 张乐修改EMERG_OFF参考设计 V0052017-4-20 贾灿增加包装说明 V0062017-7-20 张乐修改固件升级说明,更新SIM卡切换说明

2.2功能框图 (9) 2.3评估板 (10) 3应用接口 .................................................................. - 11 - 3.1管脚描述 (11) 3.2工作模式 (15) 3.3电源供电 (16)

5电气性能,可靠性 .......................................................... - 31 - 5.1绝对最大值 (31) 5.2工作温度 (32) 5.3电源额定值 (32) 5.4耗流 (33)

图 9 按键紧急关机电路................................................... - 20 - 图 10 串口三线制连接方式示意图.......................................... - 22 - 图 11 串口流控连接方式示意图............................................ - 23 - 图 12 固件升级连线图.................................................... - 23 - 图 13 调试串口连接图.................................................... - 24 - 图 14 3.3V电平转换电路................................................. - 24 - 图 15 5V 电平转换电路................................................... - 25 - 图 16 RS232 电平转换电路................................................ - 25 - 图 17 NETLIGHT参考电路................................................ - 27 - 图 18 STATUS 参考电路.................................................. - 28 -

嵌入式软件开发流程图

嵌入式软件开发流程 一、嵌入式软件开发流程 1.1 嵌入式系统开发概述 由嵌入式系统本身的特性所影响,嵌入式系统开发与通用系统的开发有很大的区别。嵌入式系统的开发主要分为系统总体开发、嵌入式硬件开发和嵌入式软件开发3大部分,其总体流程图如图1.1所示。 图1.1 嵌入式系统开发流程图 在系统总体开发中,由于嵌入式系统与硬件依赖非常紧密,往往某些需求只能通过特定的硬件才能实现,因此需要进行处理器选型,以更好地满足产品的需求。另外,对于有些硬件和软件都可以实现的功能,就需要在成本和性能上做出抉择。往往通过硬件实现会增加产品的成本,但能大大提高产品的性能和可靠性。 再次,开发环境的选择对于嵌入式系统的开发也有很大的影响。这里的开发环境包括嵌入式操作系统的选择以及开发工具的选择等。比如,对开发成本和进度限制较大的产品可以选择嵌入式Linux,对实时性要求非常高的产品可以选择Vxworks等。

1.2 嵌入式软件开发概述 嵌入式软件开发总体流程为图4.15中“软件设计实现”部分所示,它同通用计算机软件开发一样,分为需求分析、软件概要设计、软件详细设计、软件实现和软件测试。其中嵌入式软件需求分析与硬件的需求分析合二为一,故没有分开画出。 由于在嵌入式软件开发的工具非常多,为了更好地帮助读者选择开发工具,下面首先对嵌入式软件开发过程中所使用的工具做一简单归纳。 嵌入式软件的开发工具根据不同的开发过程而划分,比如在需求分析阶段,可以选择IBM的Rational Rose等软件,而在程序开发阶段可以采用CodeWarrior(下面要介绍的ADS 的一个工具)等,在调试阶段所用的Multi-ICE等。同时,不同的嵌入式操作系统往往会有配套的开发工具,比如Vxworks有集成开发环境Tornado,WindowsCE的集成开发环境WindowsCE Platform等。此外,不同的处理器可能还有对应的开发工具,比如ARM的常用集成开发工具ADS、IAR和RealView等。在这里,大多数软件都有比较高的使用费用,但也可以大大加快产品的开发进度,用户可以根据需求自行选择。图4.16是嵌入式开发的不同阶段的常用软件。 图1.2 嵌入式开发不同阶段的常用软件 嵌入式系统的软件开发与通常软件开发的区别主要在于软件实现部分,其中又可以分为编译和调试两部分,下面分别对这两部分进行讲解。 1.交叉编译 嵌入式软件开发所采用的编译为交叉编译。所谓交叉编译就是在一个平台上生成可以在另一个平台上执行的代码。在第3章中已经提到,编译的最主要的工作就在将程序转化成运行该程序的CPU所能识别的机器代码,由于不同的体系结构有不同的指令系统。因此,不同的CPU需要有相应的编译器,而交叉编译就如同翻译一样,把相同的程序代码翻译成不同CPU的对应可执行二进制文件。要注意的是,编译器本身也是程序,也要在与之对应的某一个CPU平台上运行。嵌入式系统交叉编译环境如图4.17所示。

软件开发设计文档模板

软件文档编写指南 封面格式: 文档编号 版本号 文档名称: 项目名称: 项目负责人: 编写年月日 校对年月日 审核年月日 批准年月日 开发单位 系统规约说明书(System Specification) 一.引言 A.文档的范围和目的 B.概述 1.目标 2.约束 二.功能和数据描述 A.系统结构 1.结构关系图 2.结构关系图描述 三.子系统描述 A.子系统N的结构图规约说明 B.结构字典 C.结构连接图和说明 四.系统建模和模拟结构 A.用于模拟的系统模型

B.模拟结果 C.特殊性能 五.软件项目问题 A.软件项目可行性研究报告 B.软件项目计划 六.附录 软件项目可行性研究报告(Report for Feasibility Study) 一.引言 1.编写目的(阐明编写可行性研究报告的目的,指出读者对象) 2.项目背景(应包括:(1)所建议开发的软件名称;(2)项目的任务提出者、开发者、用户及实现单位;(3)项目与其他软件或其他系统的关系。) 3.定义(列出文档中用到的专门术语的定义和缩略词的原文。) 4.参考资料(列出有关资料的作者、标题、编号、发表日期、出版单位或资料来源。)二.可行性研究的前提 1.要求(列出并说明建议开发软件的基本要求,如(1)功能;(2)性能;(3)输出;(4)输入;(5)基本的数据流程和处理流程;(6)安全与保密要求;(7)与软件相关的其他系统;(8)完成期限。) 2.目标(可包括:(1)人力与设备费用的节省;(2)处理速度的提高;(3)控制精度和生产能力的提高;(4)管理信息服务的改进;(5)决策系统的改进;(6)人员工作效率的提高,等等。) 3.条件、假定和限制(可包括:(1)建议开发软件运行的最短寿命;(2)进行系统方案选择比较的期限;(3)经费来源和使用限制;(4)法律和政策方面的限制;(5)硬件、软件、运行环境和开发环境的条件和限制;(6)可利用的信息和资源;(7)建议开发软件投入使用的最迟时间。) 4.可行性研究方法 5.决定可行性的主要因素 三.对现有系统的分析 1.处理流程和数据流程 2.工作负荷 3.费用支出(如人力、设备、空间、支持性服务、材料等项开支。) 4.人员(列出所需人员的专业技术类别和数量。) 5.设备 6.局限性(说明现有系统存在的问题以及为什么需要开发新的系统。) 四.所建议技术可行性分析 1.对系统的简要描述 2.处理流程和数据流程 3.与现有系统比较的优越性 4.采用建议系统可能带来的影响 (1)对设备的影响 (2)对现有软件的影响

Neo_M590E模块硬件设计指南(邮票孔) V1.0

Neo_M590E 硬件设计指南
Version V1.0
深圳市有方科技有限公司
有无线,方精彩 Let's enjoy the wireless life!

Neo_M590E 硬件设计指南
V1.0
版权声明
Copyright ? 2008 neoway tech 深圳市有方科技有限公司保留所有权利。
是深圳市有方科技有限公司所有商标。 本手册中出现的其他商标,由商标所有者所有。
说明
本指南的使用对象为系统工程师,开发工程师及测试工程师。 由于产品版本升级或其它原因,本手册内容会在不预先通知的情况下进行必要的更新。 除非另有约定,本手册中的所有陈述、信息和建议不构成任何明示或暗示的担保。
深圳市有方科技有限公司为客户提供全方位的技术支持,任何垂询请直接联系您的客户经理 或发送邮件至以下邮箱: Sales@https://www.doczj.com/doc/b68508874.html, Support@https://www.doczj.com/doc/b68508874.html, 公司网址:https://www.doczj.com/doc/b68508874.html,
深圳市有方科技有限公司版权所有
第 2 页,共 18 页

Neo_M590E 硬件设计指南
V1.0

1. 2. 3. 4. 5. 6. 6.1. 6.1.1. 6.1.2. 6.1.3. 6.1.4. 6.1.5. 6.1.6. 6.2. 6.3. 6.4. 6.5. 6.6. 7. 8.

概述 .................................................................... 5 外形 .................................................................... 5 设计框图 ................................................................ 5 特性 .................................................................... 6 管脚定义 ................................................................ 7 接口设计参考 ............................................................ 7 电源及复位接口 .........................................................................................................7 电源.........................................................................................................................8 上电时序 .................................................................................................................9 ON/OFF 管脚说明 ..................................................................................................9 EMERGOFF 管脚说明...........................................................................................11 VCCIO 管脚说明..................................................................................................12 模块开机、关机及复位 .........................................................................................12 串口 ..........................................................................................................................13 SIM 卡接口................................................................................................................14 指示灯 ......................................................................................................................15 信号连接器和 PCB 封装 ............................................................................................15 射频连接器...............................................................................................................16 装配 ................................................................... 16 缩略语 ................................................................. 17
深圳市有方科技有限公司版权所有
第 3 页,共 18 页

硬件电路设计规范

硬件电路板设计规范 制定此《规范》的目的和出发点是为了培养硬件开发人员严谨、务实的工作作风和严肃、认真的工作态度,增强硬件开发人员的责任感和使命感,提高工作效率和开发成功率,保证产品质量。 1、深入理解设计需求,从需求中整理出电路功能模块和性能指标要求; 2、根据功能和性能需求制定总体设计方案,对CPU等主芯片进行选型,CPU 选型有以下几点要求: 1)容易采购,性价比高; 2)容易开发:体现在硬件调试工具种类多,参考设计多,软件资源丰富,成功案例多; 3)可扩展性好; 3、针对已经选定的CPU芯片,选择一个与我们需求比较接近的成功参考设计。 一般CPU生产商或他们的合作方都会对每款CPU芯片做若干开发板进行验证,厂家最后公开给用户的参考设计图虽说不是产品级的东西,也应该是经过严格验证的,否则也会影响到他们的芯片推广应用,纵然参考设计的外围电路有可推敲的地方,CPU本身的管脚连接使用方法也绝对是值得我们信赖的,当然如果万一出现多个参考设计某些管脚连接方式不同,可以细读CPU芯片手册和勘误表,或者找厂商确认;另外在设计之前,最好我们能外借或者购买一块选定的参考板进

行软件验证,如果没问题那么硬件参考设计也是可以信赖的;但要注意一点,现在很多CPU都有若干种启动模式,我们要选一种最适合的启动模式,或者做成兼容设计; 4、根据需求对外设功能模块进行元器件选型,元器件选型应该遵守以下原则: 1)普遍性原则:所选的元器件要被广泛使用验证过的尽量少使用冷、偏芯片,减少风险; 2)高性价比原则:在功能、性能、使用率都相近的情况下,尽量选择价格比较好的元器件,减少成本; 3)采购方便原则:尽量选择容易买到,供货周期短的元器件; 4)持续发展原则:尽量选择在可预见的时间内不会停产的元器件; 5)可替代原则:尽量选择pin to pin兼容种类比较多的元器件; 6)向上兼容原则:尽量选择以前老产品用过的元器件; 7)资源节约原则:尽量用上元器件的全部功能和管脚; 5、对选定的CPU参考设计原理图外围电路进行修改,修改时对于每个功能模块都要找至少3个相同外围芯片的成功参考设计,如果找到的参考设计连接方法都是完全一样的,那么基本可以放心参照设计,但即使只有一个参考设计与其他的不一样,也不能简单地少数服从多数,而是要细读芯片数据手册,深入理解那些管脚含义,多方讨论,联系芯片厂技术支持,最终确定科学、正确的连接方式,如果仍有疑义,可以做兼容设计;当然,如果所采用的成功参考设计已经是

M5310硬件设计手册_V1.2

M5310硬件设计手册 NB-IoT系列 版本:V1.2 日期:2017-09-30 中移物联网有限公司 https://www.doczj.com/doc/b68508874.html,

关于文档修订记录

目录 关于文档 (1) 目录 (2) 图片索引 (4) 表格索引 (4) 1引言 (6) 1.1安全须知 (6) 2综述 (7) 2.1主要性能 (7) 2.2功能框图 (8) 3应用接口 (8) 3.1管脚描述 (9) 3.2工作模式 (11) 3.3电源供电 (12) 3.3.1.模块电源供电接口 (12) 3.3.2.减少电压跌落 (12) 3.3.3.供电参考电路 (13) 3.4开机 (13) 3.5关机 (14) 3.6复位模块 (14) 3.7SWD接口 (15) 3.8串口 (16) 3.8.1主串口 (17) 3.8.2调试串口 (18) 3.8.3串口应用 (18) 3.9SIM IC (19) 3.10ADC数模转换 (21) 3.11网络状态指示 (21) 4天线接口 (22) 4.1射频参考电路 (22) 4.2RF输出功率 (23) 4.3RF接收灵敏度 (23)

4.4工作频率 (23) 4.5天线要求 (23) 4.6推荐RF焊接方式 (24) 5电气性能,可靠性 (24) 5.1绝对最大值 (24) 5.2工作温度 (24) 5.3耗流 (25) 6机械尺寸 (25) 6.1模块机械尺寸 (26) 6.2模块俯视图 (27) 6.3模块底视图 (27) 7存储和生产 (28) 7.1存储 (28) 7.2生产焊接 (28) 7.3包装 (29) 附录A参考文档及术语缩写 (30) 3

AC109N硬件设计指南V1.4讲解

AC109N 硬件设计指南
珠海市杰理科技有限公司
版本:V1.4 日期:2013.01.14

目 录
1. 2. 版本信息 ................................................................................................................................................. 1 引脚定义 ................................................................................................................................................. 1 2.1 引脚分配 ..................................................................................................................................... 1 2.2 引脚描述 ..................................................................................................................................... 5 电气特性 ................................................................................................................................................. 8 3.1 LDO 电压、电流特性 ................................................................................................................ 8 3.2 I/O 输入、输出高低逻辑特性 ................................................................................................... 8 3.3 I/O 输出能力、上下拉电阻特性 ............................................................................................... 8 硬件设计说明 ......................................................................................................................................... 9 4.1 AC109N OTP 版 Boombox 设计说明 ....................................................................................... 9 设计特殊说明(★此章节为重点章节,须识记) ........................................................................... 10 5.1 AC109N 特殊说明 ................................................................................................................... 10 5.1.1 IO 特性 .......................................................................................................................... 10 5.1.2 LCD 驱动 ...................................................................................................................... 10 5.2 DAC 音频电路设计.................................................................................................................. 10 5.3 AMUX 设计 .............................................................................................................................. 11 5.4 SD 卡电源设计 ......................................................................................................................... 11 5.5 USB 电源设计 .......................................................................................................................... 11 5.6 GND 和 AGND......................................................................................................................... 11 PCB 布局和 Layout 注意事项 ............................................................................................................. 12 6.1 晶振走线要求 ........................................................................................................................... 12 6.2 FM 走线和铺地处理 ................................................................................................................ 12 6.3 音频信号走线要求 ................................................................................................................... 13 引脚封装 ............................................................................................................................................... 14 7.1 LQFP64-10*10mm 封装图 ....................................................................................................... 14 7.2 LQFP48-7*7mm 封装图 ........................................................................................................... 15 7.3 SOP28 封装图........................................................................................................................... 15 7.4 SSOP24 封装图 ........................................................................................................................ 16 7.5 SOP16 封装图........................................................................................................................... 16 附录 ....................................................................................................................................................... 17 8.1 附录 1:P00 和 P01 挂高速晶振电路 ..................................................................................... 17
3.
4. 5.
6.
7.
8.
I 本文中所有信息归珠海市杰理科技有限公司所有,未经授权,不得外传。

TI TMS320F28xx 硬件电路设计指导手册

Application Report SPRAAS1B–August2011 Hardware Design Guidelines for TMS320F28xx and TMS320F28xxx DSCs Pradeep Shinde ABSTRACT TMS320F28xx and F28xxx digital signal controllers(DSCs)include multiple complex peripherals running at fairly high-clock frequencies.They are commonly connected to low level analog signals using an onboard analog-to-digital converter(ADC).This application report is organized as a guide for system level hardware design,parts selection,and schematics design to board layout and helps in avoiding those hardware errors that become costly and time consuming when detected during the system level-debugging phase of the project,using the prototype of the custom board of the project.The issues related to clock generation,JTAG,power supply,interfacing of peripherals with special attention to analog inputs to ADC,general-purpose input/output(GPIO)connections,testing and debug,electromagnetic interference(EMI)and electromagnetic compatibility(EMC)considerations,etc.,are discussed.Each section explains signal routing and layout tips. Contents 1Introduction (2) 2Typical System and Challenges (2) 3Handling of Different Hardware Building Blocks (4) 4Schematics and Board Layout Design (23) 5EMI/EMC and ESD Considerations (27) 6Conclusion (29) 7References (30) List of Figures 1Typical TMS320F28xx/28xxx System (3) 2Options for Clock Input (4) 3Typical Crystal Circuit (5) 4Connecting External Oscillator to F280x/F28xxx (6) 5XRS Connection With Watchdog Module (7) 6JTAG Header to Interface Target to a Scan Controller (8) 7JTAG Pin Connections(for a single F28x based system) (9) 8Emulator Connections for Multiprocessor System (10) 9Emulator Daisy-Chain Connections (10) 10ADC Pin Connections for TMS320F28xxx (12) 11Analog Input Impedance Model(F28xxx) (13) 12Typical Buffer/Driver Circuit for ADCIN (13) 13F281x ADC External Reference Schematics (15) 14Typical CAN Transceiver Schematic (17) 15Typical RS-232Transceiver Schematic (17) 16Separate Digital and Analog Supplies (19) 17Suggested Crystal Oscillator Layout (24) C2000,Code Composer Studio are trademarks of Texas Instruments. eZdsp is a trademark of Spectrum Digital,Inc. All other trademarks are the property of their respective owners.

相关主题
文本预览
相关文档 最新文档