当前位置:文档之家› 低频数字相位测量仪

低频数字相位测量仪

低频数字相位测量仪
低频数字相位测量仪

、设计任务和技术要求

1.1设计内容

设计制作一个低频数字相位测量仪,

要求使用单片机和 FPGA 来共同实现,FPGA 完成测

量时间差,而单片机完成数据的读取、键盘控制和显示等功能。

1.2设计要求

频率范围:20Hz~20kHz 。 相位测量仪的输入阻抗:仝 允许两路输入正弦信号峰峰值可分别在 1~5V 变化。

相位测量绝对误差W 2°。 具有频率测量及数字显示功能。 相位差数字显示,分辨力为 0.1 主芯片:Altera 的 FLEX10K10。

要求扩展键盘和显示接口电路,可以进行键盘控制以及显示等功能。 二、系统设计方案

2.1方案论证

根据系统的设计要求,本系统可分为三大基本组成部分:

1. 数据采集电路

数据采集电路主要是运用 FP GA/C PLD 采集两个同频待测正弦信号的频率和相位差所对

应的时间差。

2. 数据运算控制电路

数据运算控制电路主要是运用单片机读取

FPGA/CPLD 采集到的数据,并根据这些数据计

算待测正弦信号的频率及两路同频正弦信号之间的相位差。

3. 数据显示电路

数据显示电路是通过功能键切换用

LCD 液晶模块显示出待测信号的频率和相位差。

4. 整形电路

由于FPGA 对脉冲信号比较敏感,而被测信号是周期相同、相位不同的两路正弦波信号, 为了准确地测出两路正弦波信号的相位差及其频率,我们需要对输入波形进行整形,使正弦 波变成方波信号,并输入 FPGA 进行处理。

整个系统的总体原理框图如图

1) 2) 3) 4) 5) 6)

7) 8)

lOOkQ 。

2.1所示。

图2.1系统原理框图2.2程序设计框图

图22程序设计流程图

三、硬件电路图的设计与分析

3.1 FPGA数据采集电路

图3.1数据采集电路

FPGA数据采集电路的功能就是实现将待测正弦信号的周期、相位差变为19位的数字量。

根据系统的总体设计方案,FPGA数据采集电路的输入输出信号有:

CLK ――系统工作时钟信号输入端;

A,B――两路被测信号输入端;

EN ――单片机发出的传送数据使能信号;

RSEL ――单片机发出的传送数据类型信号;

DATA[18..O] ―― FPGA到单片机的数据输出口。

FPGA数据采集电路测量正弦波信号频率的原理是:在正弦波信号整形后得到的方波信号

的一个周期为T秒的数据采样信号进行计数,其计数结果乘以1/T,就是被测正弦波信号的频率,单位为Hz。

测量正弦波信号周期原理是:在正弦波信号整形后得到的方波信号的一个周期内对周期

为T秒的数据采样信号进行计数,其计数结果乘以T秒,就是被测正弦波信号的周期,单位

为秒。

测量两个同频正弦波信号的相位差,关键是要测出两个同频正弦波信号起点之间的时间差△t, 若^ t测出,则根据△护厶tx 360° /t即可求出相位差^ 0,因此其测量原理与测量正弦波信号周期的原理相似。

本设计采用20MHz的高频晶体振荡源,由FPGA内部的分频模块对20MHz信号进行二分频,得到10MHz 的数据采样信号,其采样周期为0.1US。

为了实现中低频测量精度的要求,我们可采用10MHz的信号来循环计数被测信号的周期和两

个同频正弦波信号的相位差所对应的时间差值,时间单位为0.1US。也就是说,计数周期和相

位差所对应的时间差值的精度是0.1US。利用被测信号来刷新采样计数,在20Hz时,刷新频

率可以精确到10Hz, 20kHz时达到10kHz,可以实现高频多测量,低频少测量的效果,时间计数精确可靠,为后面单片机的数据处理提供了稳定、可靠的数据源。

3.2单片机数据运算控制

单片机数据运算控制电路的功能就是负责读取FPGA/CPLD采集到的数据,并根据这些数

据计算待测正弦信号的频率及同频正弦信号之间的相位差,同时通过功能键切换,显示出待测信号的频率和相位差。

图3.2数据显示电路

四、程序设计与调试

4.1 FPGA 采集电路设计

3、 被测信号频率和相位差数据检测模块

SJJC 的作用是:在控制信号ENA 和CLRA 的控

制下,对测控基准时钟信号

CLKF 进行计数和清零,以便获取有关频率和相位差数据。

4、 数据锁存模块SJSC 的作用是:在LOADA 的上升沿将频率数据锁存在

DATAA 中,在

为了达到系统所要求的精度,在计算时为了保证不丢失数据,我们采用了扩大数据倍数, 定点取数的方法。在计算频率

?和相位差^ 0时,?和△ 0分别扩到了 10000000倍和10倍,

即?=10000000/t, △ 0=360 X 10 XA t/t 。然后定点取数值,在单片机完成的计算中,当

t=

Tmax=T20kHz, △ tf Tmax 时,数据位数f 20位,因此采用了多字节乘法,保证了数据的计 算准确。

单片机数据运算控制电路的软件设计思路是,

单片机不断地从FPGA 读取信号的周期和

b 信号相位差所对应的时间差,读取数据后进行有关计算,并通过转换后,送出给显示模块, 实现频率和相位差的显示。

a 、

3.3数据显示电路

整个系统硬件电路中,显示电路用 LCD 液晶显示,需要单片机提供三个控制管脚,分别 是P3.5,

P3.6, P3.7。用两个按键切换频率和相位差数据,分别是 P1.6, P 1.7。其中按键部分 是用查询去抖的方式

完成,

LCD 显示模块运用串口方式,这样节省了

I/O 口,三个控制管脚

分别控制忙碌状态、串口片选、数据传输口。如图

3.2。

18

IT 互

17

wmriTQrquctrriE

Ei5;SftftS :a>S£sa(i* HJ

H-7 Rjm

IMP

10

液晶显示器

>o

FPGA 数据采集电路可设计成五个模块, 他们分别是:时钟信号分频模块 FPQ ,测量控制 信号发生模块KZXH ,被测信号有关时间检测模块 SJJC,数据锁存模块SJSC 和输出选择模块 SCXZ ,整个系统组成框图如图 4.1。

1、 时钟信号分频模块 FPQ 的作用是:将输入的20MHz 的信号分频成10MHz 时钟信号CLKF 。

2、 测量控制信号发生模块 KZXH 的作用是:根据两路被测信号整形后的方波信号 和CLKBB ,产生有关

测控信号,包括时间检测使能信号 ENA ,时间检测清零信号 存频率数据控制信号 LOADA ,锁存两路被测信号相位差数据控制信号

的测控基准 CLKAA CLRA ,锁

CLB 。

TSE53

?

a

CLB 的下降沿时将相位差数据锁存在 DATAB 中。

5、输出选择模块 SCXZ 的作用是:根据单片机发出的控制信号数据传送使能信号 输出数据类型

选择信号 RSEL ,将被测信号频率数据或相位差数据输出。

DflTBBElS. . O] DHTnClS. . 01 .8^^ ''

DATA 卩Sijj

图4.1 FPGA 整个系统框图

4.2单片机程序设计

单片机在获取FPGA 的数据时,开始的是一般的读取指令 MOV 指令,从单片机的 P0,

P2, P3 口分别读入数剧,组合为一个19位的二进制数据,通过控制口线P1.6、P1.7控制FPGA 释放数据。经过多

次测试,采用这种方式获得了比较好的效果。单片机读取 FPGA 数据的程

序流程图如4.2。

单片机从FPGA 读取信息后,对信息进行计算,算出信号

a 的频率,其流程图如 4.3。

由于a 、b 信号是两路同频不同相的正弦波信号,因此经过整形电路后形成频率相同,时 间上不重合的两路信号,这样, FPGA 可以计数出两路信号的时间差从而可以计算出

a 、

b 信

号的相位差,其流程图如

EN 和

FPq

y wmr'i i

】vcc * ... ' CLK ―CLKFI lA

KZXW -

ENfl

:"W 厂

' VW H CLRfl /■WOT"-

'wc -

LOADA

CLB

1

CLKF

SJJC

GLRR DR[1S H .O]

ENA

OAi ie.. 0] DnTAAiie..0]

DRTHB[le..e]

I

L Often

CLB

scxz

0ATAn[18. . O]

:1I1I RSEL 匚>

:13 EN

RSCL EM

4.4。

10 CLK [

SJSC

图4.3

最后单片机需要将信号送到输出端显示出来, 电路显示出来,程序流程图如 4.5图4.2

图4.4 即单片机通过显示子程序将信息送到显示

图4.5

4.3结果与分析

1)FPGA数据采集电路波形仿真如图 4.6所示

I Ik IM Ti(n*

M 26tft

_4.0eh2iB

Vai vB GOul 7 Out eout85u( B#-?< ^C LK

— A

peiA ■^CLRA

■eg

■QB 匸d?>(lQ

01 於KlwiirTFMP

d> cii MXTAA

11/ m

2 血u 3?WA iV |l?ft 40* w fjc

旳Q/ 细也ifM 0| a 耐强1詛忖0]

& i^c 5|DA o/鹊僭吕ID A T"

1 MipWWWMMWMMMMWWMMWimWWlMlMMlMWMM D 1 I I I I I I

HOOOQA,

HOOOQA

uwumRmmuiminnnnmuuinmwnmmmmumfmmi QODOA 00006 OOOQA 00006 OODOA

HCHHU

!M 00099

iHOOXO

HQODCD

HOOOO

iV : i:4t 5IQATAB MOODK

OOt

Pft

rows

OOOQA

mooA 1 ooms I mopA I

EXP mnognrmz^ -1—gm_ jmGGCDnmLqpg iC丽」H JH

0 皿nrinmnme 吨ir~^^noooE Dpw cooQOQOoor^o Qgro sooosoooor innnnrinrinrif —YYmrmryT DO?030000000[ D?*i?^~nTOooooor~^^ 皿

1 .................................... —

oooos

DODOS CGOOG DOKM

X jBooo

图4.6 FPGA波形仿真图

单片机数字相位差计的设计

XXXXXX项目式教学 设计报告 课程名称:电路综合设计 项目名称:单片机数字相位差计的设计专业班级: 学生姓名: 指导教师: 开课时间: 报告成绩:

数字相位差计的设计与实现 摘要 随着数字电子技术的发展,由数字逻辑电路组成的控制系统逐渐成为现代检测技术中的主流,数字测量系统也在工业中越来越受到人们的重视。 在实际工作中,常常需要测量两列频率相同的信号之间的相位差,来解决实践中出现的种种问题。例如,电力系统中电网合闸时,要求两电网的电信号之间的相位相同,这时需要精确测量两列工频信号之间的相位差。如果两列信号之间的相位差达不到相同,会出现很大的电网冲激电流,对供电系统产生巨大的破坏力,所以必须精确地测量出两列信号之间的相位差。本设计由STC89C51构成的最小系统,通过外围扩展,精确测量工频电压的相位差,采用LCD1602显示相位差,功耗小,精确度高,稳定性能好,读数方便且不需要经常调试。 关键词:单片机、低频、相位差、LCD

一、绪论 1.1课题的意义 众所周知,相位是交变信号的三要素之一,而相位差则是研究两个相同频率交流信号之间关系的重要参数。相位差的测量是电气测量的一项基本内容,其含义为测量两个同频率周期信号的相位差值。 例如某一电路系统输入信号与输出信号之间的相位差,三相交流电两个相电压或两个线电压之间的相位差,相电压与相电流之间的相位差等。 又如,在自动控制理论中,系统的相频特性为在不同频率正弦信号作用下,系统的输出信号与输入信号之间的相位和频率的函数关系。 此外,同频率正弦信号的相位差测量在工业自动化、智能控制及通讯电子等许多领域都有着广泛的应用。如电工领域中的电机功角测试,等等。 因此相位差的测量是研究网络相频特性中不可缺少的重要方面。 1.2课题要求 本设计研究了一种可测20Hz-20kHz 内波形(正弦波、三角波、矩形波)数字相位差测量仪的设计方法。主要内容是以STC89C51为控制核心,实现对音频范围内的正弦交流信号的相位的测量,可测的信号相位差在0~360? 度范围内,测量精度可达0.1? 。两路信号(同频、不同相)通过过零比较器电路整形成矩形波信号,再通过鉴相器,D 触发器二分频得到相位差信号。这样就构成了相位测量系统的测量电路。再将该相位差信号送入单片机的外部中断端口,通过单片机对数据的处理,最后方可得到所要测量的相位差,并在液晶上显示出测量结果。 二、相位测量方案论证与选择 2.1设计方案论证 方案1:相位——电压转换法 相位--电压转换式数字相位计的原理框图如图2-1

数字式相位差测量仪说明书4

目录 绪论 (1) 摘要 (2) 1 结构设计与方案选择 (3) 1.1 基于过零检测法的数字式相位差测量仪方法概述 (4) 1.1.1 相位-电压法 (4) 1.1.2 相位-时间法 (5) 1.2 方案的比较与选择 (6) 2 相位-时间法单元电路的原理分析与实现方法 (6) 2.1 前置电路设计与分析 (6) 2.1.1 放大整形电路的分析与实现 (6) 2.1.2 锁相倍频电路的分析与实现 (7) 2.2 计数器及数显部分的设计与分析 (9) 2.2.1 计数器部分的分析与实现 (9) 2.2.2 译码显示部分的分析与实现 (10) 3 结论 (12) 4 参考文献 (13) 附录1:元器件名细表 (14) 附录2:相位时间法总体电路原理图 (15) 附录3:相位时间法总体电路PCB板 (16) 附录4:相位时间法总体电路PCB板3D视图 (17)

随着科学技术突飞猛进的发展,电子技术广泛的应用于工业、农业、交通运输、航空航天、国防建设等国民经济的诸多领域中,而电子测量技术又是电子技术中进行信息检测的重要手段,在现代科学技术中占有举足轻重的作用和地位。数字相位差测试仪在工业领域中是经常用到的一般测量工具,比如在电力系统中电网并网合闸时,需要两电网的电信号相同,这就需要精确的测量两工频信号之间的相位差。更有测量两列同频信号的相位差在研究网络、系统的频率特性中具备重要意义。相位测量的方法很多,典型的传统方法是通过显示器观测,这种方法误差较大,读数不方便。为此,我们设计了一种数字相位差测量仪,实现了两列信号相位差的自动测量及数显。近年来,随着科学技术的迅速发展,很多测量仪逐渐向“智能仪器”和“自动测试系统”发展,这使得仪器的使用比较简单,功能越来越多。 本低频数字相位测量仪主要是测量电压和电流的相位差,由整形放大电路、基本门电路、锁相倍频、计数译码等集成电路构成。测量的分辨率可达到0.1°,可测信号的频率范围为0Hz~250Hz,幅度为0.5Ⅴ,由于74HC4046的性能比较好,使得所制得的仪器精度相对较高,达到了任务书中所规定的要求。

相位测量仪

辽宁工业大学 电子综合设计与制作(论文)题目:低频数字式相位测量仪 院(系):电子与信息工程学院 专业班级:电子班 学号: 学生姓名: 指导教师: 教师职称: 起止时间:2013.12.13-2014.1.10

电子综合设计与制作(论文)任务及评语

摘要 该设计是低频数字式相位测量仪,设计思路为输入一个低频正弦信号通过分支路正常输出,另一路不通过移相器输出一个相位改变频率不变的正弦波。得到上述两路频率相同相位不同的信号后就要测出两信号的相位差和频率,在做此工作前先要经过相位测量前置级信号处理电路,由阻抗变换和放大、限幅、电平转换、整形电路组成。经过相位测量前置级信号处理电路得到两路方波,通过异或门输出一个脉冲序列与晶振产生的基准脉冲波进行与操作得到调制后的波形,在一定的时间范围内对脉冲的个数进行计数通过计算得到相位差和频率。再通过单片机控制显示器显示出所需结果。 关键词:低频;正弦;移相器;异或门;整形;

目录 第1章可编程增益放大器设计方案论证 (1) 1.1可编程增益放大器的应用意义 (1) 1.2可编程增益放大器设计的要求及技术指标 (1) 1.3 设计方案论证 (2) 1.4 总体设计方案框图及分析 (3) 第2章可编程增益放大器各单元电路设计 (4) 2.1 输入调整电路设计 (5) 2.2 中间级放大电路设计 (5) 2.3 输出级电路设计 (5) 2.4 增益调整电路设计 (6) 第3章可编程增益放大器整体电路设计 (7) 3.1 整体电路图及工作原理 (7) 3.2 电路参数计算 (7) 3.3 整机电路性能分析 (8) 第4章设计总结 (9) 参考文献 (10)

简易数字电容测量仪

电子技术课程设计报告——简易数字电容测量仪的设计 作品40% 报告 20% 答辩 20% 平时 20% 总分 100% 设计题目:简易数字电容测量仪班级学号: 学生姓名: 目录

一、预备知识.................. 错误!未定义书签。 二、课程设计题目:简易数字电容测量仪的设计错误!未定义书签。 三、课程设计目的及基本要求.... 错误!未定义书签。 四、设计内容提要及说明........ 错误!未定义书签。 4.1设计内容...................................... 错误!未定义书签。 4.2设计说明...................................... 错误!未定义书签。 五、原理图及原理说明 ...................... 错误!未定义书签。 5.1功能模块电路原理图................... 错误!未定义书签。 5.2模块工作原理说明 ...................... 错误!未定义书签。 六、调试...........................................................................错误!未定义书签。 七、设计中涉及的实验仪器和工具.. 错误!未定义书签。 八、课程设计心得体会 ...................... 错误!未定义书签。 九、参考文献 ...................................... 错误!未定义书签。

一、预备知识 关于数字式简易数字电容测试仪的设计,我们提出了三种设计方法和思路。在具体操作中,经过对资料的收集、分析,研究与对比,最终选择了简单易懂,而且精度较高的方法,即门控法。 本方法的基本理论是单稳态触发器电路的输出脉宽wt与电容C成正比,再通过一系列的控制,计数,锁存,显示电路实现了对电容的一般测试与数字显示。在本次数电课程设计的同时,对于中大规模集成电路从认识到分析、再到整体框图设计、单元模块设计、最终到电路的模拟和实际电路的成形有了一定的认识,同时使我们在电子设计方面有了一定的实际动手能力,也为这次数电课程设计打下了坚实的基础。 数字电子课程设计是电子计数综合应用的实践环节,同时也是增强学生实践与动手能力,这也是教学环节的实践部分之一。本文设计的简易数字式电容测试仪,既融合了电子技术的基础知识,又与生产实际结合紧密,能够满足实验教学需要和科研开发应用的需要,同时,电路简洁,条理清晰,便于沟通和交流学习,具有较强的通用性和实用性。 在本次课程设计过程中得到了各方面的支持和帮助,在此特别向数子电子技术老师表示由衷的感谢。由于设计时间和水平的限制,如有不足之处,敬请指正

低频数字式相位测量仪(缪学进)

低频数字式相位测量仪 该系统由相位测量仪、数字式移相信号发生器和移相网络三个模块构成,分别由两块单片机独立地实现控制与显示功能。采用DDS技术生成两路正弦波信号,并通过改变存储器中数据读取的起始地址来实现数字移相的功能,用Ф-T变换技术来实现相位差的测量,使得显示分辨率精确到0.01o,测得的频率与相位差值送入LCD进行显示,加入红外键盘以及语音播报的功能,使得系统具有智能化、人性化的特色。 关键词:相位测量频率测量数字移相DDS语音播报 一方案论证与设计 1 相位测量方案 方案一:采用脉冲填充计数法。将正弦波信号整成方波信号,对两路方波信号进行异或操作之后输出脉冲序列的脉宽可以反映两列信号的相位差,以输入信号所整成的方波信号作为基频,经锁相环倍频得到的高频脉冲作为闸门电路的计数脉冲,由单片机对获取的计数值进行处理得到两路信号的相位差。 方案二:鉴相部分同方案一,将两路方波信号异或后与晶振的基准频率进行与操作,得到一系列的高频窄脉冲序列。通过两片计数器同时对该脉冲序列以及基准源脉冲序列进行计数,一路方波信号送入单片机外部中断口,作为控制信号控制两片计数器。得到的两路计数值送入单片机进行处理得相位差值。 对以上方案进行比较,方案一在所测频率较高时,受锁相环工作频率等参数的影响会造成相位差测量的误差,采用方案二由高精度的晶振产生稳定的基准频率,可以满足系统高精度、高稳定度的要求。 2频率测量方案 方案一:用专用频率计模块来测量频率,如ICM7216芯片,其内部带放大整形电路,可以直接输入正弦信号,外部振荡部分选用一块高精度晶振和两个低温度系数电容构成10MHz振荡电路,其转换开关具有0.01s,0.1s,1s,10s四种闸门时间,量程可以自动切换,待计数过程结束时显示测频结果。该方案外围硬件电路较为复杂。 方案二:利用可编程计数器来实现频率的测量,将被测信号转换为方波信号输入可编程计数器8254的某一路Clk端口,并将Gate端置为高电平,利用单

推荐-FPGA和MCU的相位测量仪的设计 精品

存档日期:存档编号: 本科生() 题目:基于FPGA和MCU的相位测量仪的设计 学院:电气工程及自动化学院 专业:电气工程及其自动化 XX大学教务处印制

随着社会和历史的不断进步,相位测量技术广泛应用于国防、科研、生产等各个领域,对相位测量的要求也逐步向高精度、高智能化方向发展,在低频范围内,数字式相位测量仪因其高精度的测量分辨率以及高度的智能化、直观化的特点得到越来越广泛的应用。 本文首先论述了相位测量技术的国内外发展概况,并根据现状设计了此相位测量系统。该设计包括系统设计的理论分析,系统结构设计及硬件实现,最终验证了该测量系统的可行性和有效性。 该设计采用单片机与FPGA相结合的电路实现方案,很好地发挥了FPGA的运算速度快、资源丰富、编程方便的特点,并利用了单片机的较强运算、控制功能,使得整个系统模块化、硬件电路简单、使用操作方便。文章主要介绍设计方案的论证、系统硬件和软件的设计,给出了详细的系统硬件电路图和系统软件主程序流程图。 关键词: 数字式相位测量仪单片机 FPGA 设计方案

Along with the social and historical progress, phase measurement technology is widely used in national defense, scientific research, production and other fields, on the phase measurement requirements are also gradually to high precision, high intelligent direction, in the range of low frequency digital phase measurement instrument, because of its high precision measurement resolution and highly intelligent, intuitive characteristics have been more and more widely applied. This text first discusses the phase measuring technology development in domestic and international, and according to the present situation designs the phase measuring system. The design includes system design theory analysis, system structure design and hardware realization, finally verified the feasibility and validity of the system. The bination of MCU and FPGA is adopted in the design .It has the features of FPGA high operating speed, abundant resources and convenient programming. And the use of MCU’s strong operation and control function, which makes the whole system modularized, the hardware circuit is simple and the operation is convenient. The paper mainly introduces the designs of the demonstration, hardware and software, the hardware circuits and main software program are given in detail.

数字式电容测试仪的设计

数字式电容测试仪的设计

目录 摘要 ................................................................................... 综述 (1) 1 方案设计与分析 (2) 1.1恒压充电法测量 (2) 1.2恒流充电法测量 (2) 1.3脉冲计数法测量 (2) 2 电路设计框图及功能描述 (3) 2.1 电路设计框图 (3) 2.2 电路设计功能描述 (3) 3 电路原理设计及参数计算 (4) 3.1电路原理设计 (4) 3.2单元电路设计与参数计算 (4) 3.2.1控制器电路 (4) 3.2.2时钟脉冲发生器 (5) 3.2.3计数和显示电路 (6) 4 单元电路仿真波形及调试 (8) 4.1多谐振荡器 (8) 4.2单稳态触发器 (9) 4.2.1稳定状态 (9) 4.2.2暂稳态状态 (9)

4.2.3 自动回复状态 (9) 4.3电路原理图与仿真结果显示 (10) 4.3.1电路原理图 (10) 4.3.2仿真结果显示 (11) 5课程设计体会 (14) 参考文献 (15)

摘要 本设计是基于555定时器,连接构成多谐振荡器以及单稳态触发器而测量电容的。单稳态触发器中所涉及的电容,即是被测量的电容 C。其脉冲输入信号是555定时器 x 构成的多谐振荡器所产生。信号的频率可以根据所选的电阻,电容的参数而调节。这样便可以定量的确定被测电容的容值范围。因为单稳态触发器的输出脉宽是根据电容 C x 值的不同而不同的,所以脉宽即是对应的电容值,其精确度可以达到0.1%。单稳态触发器输出的信号滤波,使最终输出电压 v与被测量的电容值呈线性关系。最后是输出电 o 压的数字化,将 v输入到74160计数译码器中翻译成BCD码,输入到LED数码管中显示 o 出来。 关键词:电容;555定时器;线性;计数译码器;LED数码管

低频数字式相位测量仪

低频数字式相位测量仪(C 题) 一、任务 设计并制作一个低频相位测量系统,包括相位测量仪、数字式移相信号发生器和移相网络三部分,示意图如下: 二、要求 1、基本要求 (1)设计并制作一个相位测量仪(参见图1) a .频率范围:20Hz ~20kHz 。 b .相位测量仪的输入阻抗≥100k 。 c .允许两路输入正弦信号峰-峰值可分别在1V ~5V 范围内变化。 d .相位测量绝对误差≤2°。 e .具有频率测量及数字显示功能。 f . 相位差数字显示:相位读数为0o ~359.9o ,分辨力为0.1°。 (2)参考图2制作一个移相网络 a .输入信号频率:100Hz 、1kHz 、10kHz 。 b .连续相移范围:-45°~+45°。 c .A '、B '输出的正弦信号峰-峰值可分别在0.3V ~5V 范围内变化。 2.发挥部分 (1)设计并制作一个数字式移相信号发生器(图3),用以产生相位测量仪所需的输入 图3 数字式移相信号发生器 图1 相位测量仪

正弦信号,要求: a.频率范围:20Hz~20kHz,频率步进为20Hz,输出频率可预置。 b.A、B输出的正弦信号峰-峰值可分别在0.3V~5V范围内变化。 c.相位差范围为0~359°,相位差步进为1°,相位差值可预置。 d.数字显示预置的频率、相位差值。 (2)在保持相位测量仪测量误差和频率范围不变的条件下,扩展相位测量仪输入正弦电压峰-峰值至0.3V~5V范围。 (3)用数字移相信号发生器校验相位测量仪,自选几个频点、相位差值和不同幅度进行校验。 (4)其它。 三、评分标准 四、说明 1、移相网络的器件和元件参数自行选择,也可以自行设计不同于图2的移相网络。 2、基本要求(2)项中,当输入信号频率不同时,允许切换移相网络中的元件。 3、相位测量仪和数字移相信号发生器互相独立,不允许共用控制与显示电路。

计量检定规程电学部分

JJG 47~1990 抖晃仪检定规程 JJG 48~1990 硅单晶电阻率标准样片检定规程 JJG 64~1990 超低频信号发生器检定规程 JJG 66~1990 高频电容损耗标准试行检定规程 JJG 69~1990 高频Q标准线圈试行检定规程 JJG 120~1990 波形监视器检定规程 JJG 121~1990 视频杂波测试仪检定规程 JJG 122~1986 DO6型精密有效值电压表检定规程 JJG 123~1988 直流电位差计检定规程 JJG 124~1993 电流表、电压表、功率表及电阻表检定规程 JJG 125~1986 直流电桥检定规程 JJG 126~1995 交流电量变换为直流电量电工测量变送器检定规程JJG 127~1986 HP4191A型高频阻抗分析仪试行检定规程 JJG 137~1986 CC-6型小电容测量仪检定规程 JJG 138~1986 CCJ-IC型精密电容测量仪检定规程 JJG 153~1996 标准电池检定规程 JJG 163~1991 电容工作基准检定规程 JJG 166~1993 直流电阻器检定规程 JJG 169~1993 互感器效验仪检定规程 JJG 173~1986 XFC-6A型标准信号发生器检定规程 JJG 183~1992 标准电容器检定规程 JJG 183~1992 标准电容器检定规程

JJG 218~1991 电感工作基准检定规程 JJG 230~1980 XFD-7A型低频信号发生器试行检定规程 JJG 242~1995 特斯拉计检定规程 JJG244-2003 感应分压器检定规程 JJG 250~1990 电子电压表检定规程 JJG 251~1997 失真度测量仪检定规程 JJG 252~1981 RS-2及RS-3型校准接收机检定规程 JJG 253~1981 用Д1-2型衰减标准装置检定衰减器检定规程JJG 254~1990 补偿式电压表检定规程 JJG 255~1981 三厘米波导热敏电阻座检定规程 JJG 256~1981 DYB-2型电子管电压表检定仪检定规程 JJG 262~1996 模拟示波器检定规程 JJG 278~2002 示波器校准仪检定规程 JJG 279~1981 WFG-IB型高频微伏表检定规程 JJG 280~1981 M4-1(MTO-1)型标准热敏电阻桥检定规程JJG 281~1981 波导测量线检定规程 JJG 282~1981 同轴热电薄膜功率座检定规程 JJG 303~1982 频偏测量仪检定规程 JJG 307~1988 交流电能表(电度表)检定规程 JJG 308~1983 超高频毫伏表检定规程 JJG 313~1994 测量用电流互感器检定规程 JJG 314~1994 测量用电压互感器检定规程

数字式相位差测量仪

专业方向课程设计报告 课题名称:数字式相位差测试仪姓名: 学号: 班级: 专业: 归口系部: 起迄日期: 指导教师: 提交报告日期: 2015年12月18日

数字式相位差测试仪 目录 一、设计任务和目的 _________________________________ - 1 - (一)设计任务 ___________________________________ - 1 - (二)设计目的 ___________________________________ - 1 - 二、设计要求 ________________________________________ - 1 - 三、工作原理 _______________________________________ - 1 - 四、设计框图 _______________________________________ - 2 - 五、主要参考器件(软件仿真,用Proteus) ____________ - 2 - 六、各模块电路分析 _________________________________ - 3 - (一)移相电路部分_______________________________ - 3 - (二)放大整形电路部分___________________________ - 3 - (三)锁相倍频电路部分___________________________ - 4 - (四)计数器及数字显示部分_______________________ - 5 - (五)相位超前于滞后显示部分_____________________ - 6 - 六、仿真___________________________________________ - 7 - 七、心得体会 _______________________________________ - 8 - 八、参考文献 _______________________________________ - 8 - 附:数字式相位差总电路图_____________________________ - 9 -

相位测量仪

目录 前言 (2) 一、功能特点 (3) 二、技术指标 (3) 三、结构外观 (4) 1.结构尺寸 (4) 2.面板布置 (4) 3.键盘说明 (5) 四、液晶界面 (6) 五、使用方法 (10) 六、打印功能 (13) 七、注意事项 (13) 附录:三相三线计量接线48种接线结果 (14) 差动保护正确矢量图 (16)

前言 随着电力行业的发展和微机综合自动化产品的推广应用,保护回路和计量回路的接线正确与否直接影响到电力系统工作的稳定性和电费计量的准确性,而这两点正是电力系统非常重要的两个方面。由于保护装置和高压计量装置的接线比较多,容易造成错误接线,而又不易被察觉,(尤其是差动保护的复杂接线,有时高低侧同时引入,又存在不同的联结组别,极易接错,而在平时运行中又可能不会误动或拒动,存在很大的隐患)。武汉华亿通电气有限公司根据现场测试需要,适时开发出SL型矢量分析仪。它集多功能于一身,即可做相位仪校验主变差动保护和母线差动的正确性,又可作为电参量测试仪测试电力系统必要的参数,还可用做三相三线电能计量接线检测仪器。采用dsp交流采样,可同时测量3路电压和6路电流模拟量,仪器首创9通道矢量同屏显示,人机对话界面友好,使用简便,大大方便了现场使用,是电力工作者的得力助手。

一、功能特点 1、大容量锂电池供电,连续工作长达4小时。 2、3路电压,6路电流矢量同屏显示,国内首创。 3、集保护矢量分析;相位伏安测试;电能计量接线矢量分析多种仪器于 一身。 4、大屏幕、高亮度的液晶显示,全汉字菜单及操作提示实现友好的人机 对话,触摸按键使操作更简便,宽温液晶带亮度调节,可适应冬夏各季。 5、用户可随时将测试的数据通过微型打印机将结果打印出来。 6、体积小重量轻:283×218×128,2kg 7、预留双USB接口,可外接优盘等移动存储设备。 二、技术指标 1、输入特性 电压测量范围:0~450V。 电流测量范围:0~6A。 2、准确度 电压、电流、频率:±0.2% 功率:±0.5% 3、工作温度:-15℃~ +40℃ 4、充电电源:交流160V~260V 5、绝缘:⑴、电压、电流输入端对机壳的绝缘电阻≥100M?。 ⑵、工作电源输入端对外壳之间承受工频2KV(有效值),历时1 分钟实验。 6、体积:32cm×28cm×13cm 7、重量:2Kg

数字电容测试仪

数字式电容测量仪的设计 一、总体方案的选择 数字式电容测量仪的设计可以有占空比可调的方波发生器产生基准方波信号,频率为10KHz,再通过555定时器构成单稳态电路。通过计数器计数显示电路显示当前电容容量。所设计的电容测量范围(1uF~999uF)。误差2%左右。 1.拟定系统方案框图 (1)方案一:纯硬件电路 图1纯硬件构成系统框图 (2)方案二:运用单片机程序编程设计电路 图2含单片机程序设计电路 2.方案的分析和比较 基于方案一较方案2只用到简单硬件,不需要编程,且大部分设计知识已经掌握,所需的有设计到出图的时间比较少。所以选择方案一,简单,易行,节省时间。 二、单元电路的设计 1.时基电路 时基电路是由占空比可调的555定时器构成的多谐振荡器,其基本工作原理如下:由于电路中二极管D1,D2的单向导电性,使电容器的充放电分开,改变电阻大小,就可调节多谐振荡器的占空比。图中Vcc通过R4、D2向电容C3充电,充电时间为 t ph 0.7R 4 C3 式(1)方 波 发 生 电 路与 门 电 路 计 数 电 路 译 码 显 示 电 路 单 稳 态 电 路

电容器 C3通过D1,R5及555中的三极管T 放电,放电时间为 t pl ≈0.7R 5C 式(2) 因而,振荡频率为 3 )54(43 .11C R R t t f pl ph +≈+= 式(3) 电路输出的占空比为 %1005 44 (%)?+= R R R q 式(4) VCC 5V A2 555_VIRTUAL GND DIS OUT RST VCC THR CON TRI R43.2kΩ R510kΩ D11BH62 D21BH62 C30.01μF C4 0.01μF 图3占空比可调的方波发生器 图4方波发生器的工作波形 本次试验需要产生8.9KHz 的频率,通过公式计算R4=3.2K Ω,R5=10K Ω,C3=0.01uf 此时f=10.8KHz,通过模拟产生的基准频率为8.9KHz,满足误差要求。 用555定时器构成的单稳态触发器如图5所示。

低频数字式相位测量仪(余蜜)

电子测量原理 低频数字式相位测量仪 班级:电子信息工程 姓名:何静峰 学号:20114075158 日期:2014年4月15日

系统方案 1 相位测量仪方案 方案一:单周波计数法。将有相位差的两路方波信号进行”异或”后作为闸门,在高电平时,利用外部高频信号进行计数,在下降沿将数据读出,低电平时对计数器清零。设晶振频率为f c ,测得信号的频率为f r ,计数值为N ,则相位差ph as e为 o c r N f f phase 180??= 方案二:定时间计数。将高频时钟信号和两路信号异或得到的信号进行“与”,在设定时间s 内利用其上跳变沿计数,设高频时钟频率为f c,计数值为N,则 o c sf N phase 180?= 方案三:多周期同步计数法。设被测信号的频率为f,则将一被测信号进行f1倍(f 取整)分频,则在f 1周期内(保证测量时间在1s左右),被测信号异或与参考高频信号相与的信号sin gal1的计数为N1,同时期参考高频信号的计数为N,则 o N N phase 1801?= 以上三种方案都可以采用一个D 触发器将相位测量的相位扩展到o 0-o 360。方案一需高速时钟,按题目要求,在20kHz 信号时的相位差分辨率为0.1o,则要求时钟最少为72MHz ,实现困难。而方案二测量时间段一定,存在遗漏0~1个周波的情况,从而引入较大的误差。方案三的读数与异或得到的信号同步,不存在遗漏问题,误差很小,故采用此方案。 2 移相信号发生器

⑴频率合成器方案 方案一:采用函数发生器8038。可以同时产生正弦波、三角波、方波,频率可由调制电压控制,但此方案难以实现相移,而且输出频率不稳定。 方案二:采用直接数字频率合成(DDFS)方案。用存储器存储所须的波形量化数据,采用不同时钟频率的地址计数器,根据计数值读出存储器中的量化数据,再经D/A转换后滤波整形输出。此方案可以很好地控制两路波形的相位差以及频率。 经上述比较,我们采用方案二。 ⑵幅度控制 方案一:利用可调电位器手动调节电压幅值。 方案二:通过控制D/A的参考电压控制输出波形的幅度。参考电压可通过对另一D/A置数从而输出不同电压,进而控制输出波形的幅度。 方案二可以预置幅值,并且比较精确,方便操作,故选方案二。 经上面方案论证,我们采用如下的系统方案: 设计技术指标 (1)相位测量仪 a.频率范围:20Hz~20kHz。

数字相位差测量仪的设计

目录 1.设计任务书。 2.设计方案概述。 3.V/f变换测量相位差角的工作原理。 4.电路的组成及参数选择。 4.1整形电路及信号C的形成。 4.2滤波电路的参任务计划书。 4.3V/f变换电路的设计。 4.4 89C52内部资源的利用。 5.应用实例。 6.结论。 7.总结。 一、设计任务书 (一)任务 设计仿真一数字相位计 (二)主要技术指标与要求: (1)输入信号频率为0HZ~250HZ可调 (2)输入信号的幅度为0.5V (3)采用数码管显示结果,相位精确到0.1° (4)采用外部5V直流电源供电 (三)对课程设计的成果的要求(包括图表) 设计电路,安装调试或仿真,分析实验结果,并写出设计说明书。要求图纸布局合理,符合工程要求,所有的器件的选择要有计算依据。 二、设计方案概述 根椐设计任务书的要求,我们参考了一些相关资料书,经过小组的讨论分析,提出了一种用v/f变换测量交流电的相位差的新方法:首先产生出其幅度正比与相位差大小的直流电,再有v/f变换器转换成反映相位差大小的频率信号,在单片机的配合下,最终得到相位差。这种方法具有分辨率高,适应与大范围的各种输入频率等优点。 正弦交流电电信号相位差的测量可以用多种方法实现。比较直接的数字式测量方法是在已知信号周期的前提下用定时的方法测得相位差角对应的时间,然后根据已知的周期将其换算成相位差角度。但

是,这种方法的测量精度依赖于定时器的精度和分辨率。在信号频率较高或频率虽不高但相位差较小时,都可以出现较大的误差。另外,由于直接测量得到的是时间,相位差角要由这一中间结果与信号的周期运算后才能得到,所以周期的测量不可缺少,其测量的精度也将影响相位差的精度。 在此用一种新的思路进行相位差的测量,用v/f变换器把相位差转换成一个其频率与之成正比的脉冲列,通过计算在一定时间内的脉冲个数测量相位差角。这种测量方法与信号的周期无关,可以得到较高的精度。题达到了0.1的测量精度,与此同时工业运行控制中现场操作,修改和设置等问题也得到了很好的解决,以上这些都在工业运行中得到了厂方的认可。存在的问题主要是本仪器通用性很不强,很难在更大的范围应用和推广,只能运用与某些特定的企业。今后的工作主要硬件和软件的改进上,列入增加一些通用行很强的功能模块。 3.V/f变换测量相位差角的工作原理 首先将输入的两个同频率但存在着相位差的信号进行整形,使之变成方波。如图1示A和B 再对A,B进行异或处理, 异或输出信号C 的脉冲宽度则反映相位差角.C 的脉宽T1对应的电角度是相位差角,C 的周期T2 是信号周期T 的1/2.如果信号角频率为w 则T1= /w. C为幅值为U 的方波其平均值Ud=UT1/T2=U 由此可见,C 的平均值( 亦即直流分量)仅与相位差角和脉冲幅 度有关与信号周期无关

数字电路课程设计报告_简易数字电容测试仪(原创)

数电课程设计报告 题目简易数字式电容测试仪 简易数字电容C测量仪 前言 电子制作中需要用到各种各样的电容器,它们在电路中分别起着不同的作用。与电阻器相似,通常简称其为电容,用字母C表示。顾名思义,电容器就是“储存电荷的容器”。尽管电容器品种繁多,但它们的基本结构和原理是相同的。两片相距很近的金属中间被某物质(固体、气体或液体)所隔开,就构成了电容器。两片金属称为的极板,中间的物质叫做介质。电容器也分为容量固定的与容量可变的。但常见的是固定容量的电容,最多见的是电解电容和瓷片电容。 不同的电容器储存电荷的能力也不相同。规定把电容器外加1伏特直流电压时所储存的电荷量称为该电容器的电容量。电容的基本单位为法拉(F)。但实际上,法拉是一个很不常用的单位,因为电容器的容量往往比1法拉小得多,常用微法(μF)、纳法(nF)、皮法(pF)(皮法又称微微法)等,它们的关系是:1法拉(F)= 1000000微法(μF)1微法(μF)= 1000纳法(nF)= 1000000皮法(pF)。 电容器在电子线路中得到广泛的应用,它的容量大小对电路的性能有重要的影响,本课题就是用数字显示方式对电容进行测量。 本设计报告共分三章。第一章介绍系统设计;第二章介绍主要电路及其分析;第三章为总结部分。 摘要:由于单稳态触发器的输出脉宽t 与电容C成正比,把电容C转换成宽度为t W的矩 W 形脉冲,然后将其作为闸门信号控制计数器计标准频率脉冲的个数,并送锁存--译码--显示系统就可以得到电容量的数据。 关键词:闸门信号标准频率脉冲

目录 第一章系统设计 (2) 一、设计目的 (2) 二、设计内容要求 (2) 三、设计技术指标 (2) 四、方案比较 (2) 五、方案论证 (3) 1、总体思路 (3) 2、设计方案 (3) 第二章主要电路设计与说明 (4) 一、芯片简介 (4) 1、555定时器 (4) 2、单稳态触发器74121 (4) 3、4位二进制加法计数器47161 (5) 4、4位集成寄存器74 LSl75芯片 (6) 5、七段译码器74LS47-BCD 芯片 (7) 二、总电路图及分析 (7) 1、总图 (7) 2、参数选择及仪表调试 (9) 3、产品使用说明 (9) 4、以测待测电容Cx的电容量为例说明电路工作过程及测容原理 (9) 三、各单元电路的设计与分析 (9) 1、基准脉冲发生器 (9) 2、启动脉冲发生器 (10) 3、Cx转化为Tw宽度的矩形脉冲 (10) 4、计数器 (10) 5、寄存—译码—显示系统 (10) 第三章总结 (11) 参考文献 (11) 附录 (11) 附录1 元器件清单 (11) 附录2 用集成元件代分立元件电路 (12) 评语 (13)

高精度相位测量仪的介绍及测量

高精度相位测量仪的介绍及测量 相位介绍 相位是与电路结构有关的参数。 相位是反映交流电任何时刻的状态的物理量。交流电的大小和方向是随时间变化的。比如正弦交流电流,它的公式是i=Isin2πft。i是交流电流的瞬时值,I是交流电流的最大值,f是交流电的频率,t是时间。随着时间的推移,交流电流可以从零变到最大值,从最大值变到零,又从零变到负的最大值,从负的最大值变到零。 相位(phase)是对于一个波,特定的时刻在它循环中的位置:一种它是否在波峰、波谷或它们之间的某点的标度。是描述讯号波形变化的度量,通常以度(角度)作为单位,也称作相角。当讯号波形以周期的方式变化,波形循环一周即为360° 。常应用在科学领域,如数学、物理学等 相位调整 相位调整是指在有些超低音音箱上加装的一个控制机构。用于对超低音音箱所重放出的声音稍许加以延迟,从而让超低音音箱的输出能够和前置主音箱同相位,即具有相同的时间关系。 相位噪声 相位噪声是频率域的概念,是对信号时序变化的另一种测量方式,其结果在频率域内显示。 如果没有相位噪声,那么振荡器的整个功率都应集中在频率f=fo处。但相位噪声的出现将振荡器的一部分功率扩展到相邻的频率中去,产生了边带(sideband)。从图2中可以看出,在离中心频率一定合理距离的偏移频率处,边带功率滚降到1/fm,fm是该频率偏离中心频率的差值。 相位噪声通常定义为在某一给定偏移频率处的dBc/Hz值,其中,dBc是以dB为单位的该频率处功率与总功率的比值。一个振荡器在某一偏移频率处的相位噪声定义为在该频率处1Hz带宽内的信号功率与信号的总功率比值。 相位差 两个频率相同的交流电相位的差叫做相位差,或者叫做相差。这两个频率相同的交流电,可以是两个交流电流,可以是两个交流电压,可以是两个交流电动势,也可以是这三种量中的任何两个。

基于51单片机的数字电容测量仪

电子系统设计创新与实习报告 设计课题基于单片机的电容测量仪设计 学院信息科学与工程 学生姓名 学号 专业班级 队友 指导教师 设计时间 2014.6.4-2014.7.3

本设计详细介绍了一种基于单片机的数字式电容测量仪设计方案及实现方法。设计的主要方法是采用555芯片构成单稳态触发器,将电容容量转换为脉冲宽度。通过单片机的计时器测量脉宽, 根据已知的R值,通过单片机的运算功能,计算出电容容量,最后,再通过单片机的普通I/O口控制液晶屏显示出电容容量的计算结果。系统的测量范围为10pF~ 500uF, 具有多个量程,可根据用户需要由用户选择,与用户的交互是通过键盘实现,不同量程的实现是通过单片机的I/O口控制继电器的吸合与断开来选择不同的R值,从而实现不同的量程。同时,本设计注重设计方法及流程,首先根据原理设计电路,再通过protues仿真,利用keil 编程,进而借助altium designer 制作PCB,最后到焊接元器件,调试直至成功。 1 系统方案设计

1.1 设计说明及要求 1.1.1 设计说明 框图中的外接电容是定时电路中的一部分。当外接电容的容量不同时,与定时电路所对应的时间也有所不同,即C=f(t),而时间与脉冲数目成正比,脉冲数目可以通过计数译码获得。 1.1.2 设计要求 (1)基本要求 ①自制稳压电源。 ②被测电容的容量在10pF至10000μF范围内 ③设计四个的测量量程。 ④显示测量结果,测量误差小于2.5%。 数字显示:显示分辨率:每档满量程的0.1%; 电容测量:电压可选择5V,25V,50V; 为实现该设计,达到相应的设计要求,本次设计中考虑了三种设计方案,三种设计方案中主要区别在于硬件电路和软件设计的不同,对于本设计,三种方案均能够实现,最后根据设计要求、可行性和设计成本的考虑选择了基于STC89C52单片机和555芯片构成的单稳态触发电路测量电容的方案。 现在一一介绍论证如下。

低频数字式相位测试仪—开题报告

低频数字式相位测试仪的研究 一、设计背景和意义: 相位测量技术的应用已深入到许多领域,广泛应用于国防、科研、学校和厂矿,传统相位测量使用的是指针式仪表,但随着电子技术的发展,数字显示相位仪不断涌现。利用了51单片机的高速硬件捕获功能来实现频率和相位的测量;并利用A/D转换器对数据进行进一步的处理,在高低频段分别采用多次测量、滤波算法、矢量分解、便宜修正等算法消除干扰提高精度,采用大屏幕液晶显示测量详细信息;利用AVRmega8515配合16.384MHZ的高速晶振,采用软件DDFS实现双路数字式移相信号发生器,使用优化算法是当今科技发展对低频数字式相位测量仪的新要求。 二、设计的主要内容以及具体要求: 2.1设计的主要内容 低频数字是相位测量仪实际需要设计和制作的三个独立的部分:(1)数字相位测量仪;(2)数字式移相信号发生器;(3)移相网络。本系统由两块独立的CPU组成。 本系统以51单片机以及可编程逻辑器件为核心,由模拟移相网络、数字式相位测量仪(含测频功能)、数字式移相位测量仪的核心为数字鉴相器及高速计数器,频率计采用高精度恒定误差测频法。信号发生器使用直接数字频率合成(DDFS)技术,并使用汉字液晶显示模块,操作界面友好。系统的测量精度及其它指标均达到了设计要求。 2.2设计的具体要求 (1)设计并制作一个相位测量仪 a.频率范围:20Hz~20KHz。 b.允许两路输入正弦信号峰-峰值可分别在1V~5V范围内变化。 c. 相位测量仪的输入阻抗≥100K。 d. 相位测量绝对误差≤。 e. 具有频率测量及显示功能。 f. 相位差数数字显示:相位读数为~,分辨力为。 (2)移相网络 a.输入信号频率:100Hz,1K,10Kz。 b.连续相移范围:~ c. A`,B`输出的正弦信号峰-峰值可分别在0.3V~5V范围内变化。 十进制数字显示,显示刷新时间1~10秒连续可调,对上述三种测量功能分别用不同颜色的发光二极管指示。 三、设计的实现方案: 方案论证 数字移相技术的核心是:先将模拟信号或移相角数字化,经移相后再还原成模拟信号。移相方案主要有以下几种。 方案一:利用D/A转换实现相移

相关主题
文本预览
相关文档 最新文档