当前位置:文档之家› 电子工程师招聘笔试题及详细解析(不看后悔)

电子工程师招聘笔试题及详细解析(不看后悔)

电子工程师招聘笔试题及详细解析(不看后悔)
电子工程师招聘笔试题及详细解析(不看后悔)

一、基础题(每空1分,共40分)

1、晶体三极管在工作时,发射结和集电结均处于正向偏置,该晶体管工作在饱和_状态。

1.截止状态:基极电流Ib=0,集电极电流Ic=0,b-ePN结临界正向偏置到反向偏置, b-cPN结反向偏置。

2.放大状态:集电极电流随基极电流变化而变化,Ic=βIb,b-ePN结正向偏置,b-cPN结反向偏置。

3.饱和状态:集电极电流达到最大值,基极电流再增加集电极流也不会增加,这时的一个特征是b-ePN结、b-cPN结都正向偏置

2、TTL门的输入端悬空,逻辑上相当于接高电平。

3、TTL电路的电源电压为5V, CMOS电路的电源电压为3V-18V 。

4、在TTL门电路的一个输入端与地之间接一个10KΩ电阻,则相当于在该输入端输入低电平;在CMOS门电路的

输入端与电源之间接一个1KΩ电阻,相当于在该输入端输入高电平。

5、二进制数(11010010)2转换成十六进制数是D2。

6、逻辑电路按其输出信号对输入信号响应的不同,可以分为组合逻辑电路和时序逻辑电路两大类。

7、组成一个模为60的计数器,至少需要6个触发器。

一个触发器相当于一位存储单元,可以用六个触发器搭建异步二进制计数器,这样最多能计63个脉冲

8、在数字电路中,三极管工作在截止和饱和状态。

9、一个门电路的输出端能带同类门的个数称为扇出系数。

10、使用与非门时多余的输入脚应该接高电平,使用或非门时多余的输入脚应该接低电平。

与非门:若当输入均为高电平(1),则输出为低电平(0);若输入中至少有一个为低电平(0),则输出为高电平(1)。所以多余的输入脚接高电平或非门:若当输入均为低电平(1),则输出为高电平(0);若输入中至少有一个为高电平(0),则输出为低电平(1)。所以多余的输入脚接低电平

11、贴片电阻上的103代表10kΩ。

12、USB支持控制传输、同步传输、中断传输和批量传输等四种传输模式。

13、一个色环电阻,如果第一色环是红色,第二色环是红色,第三色环是黄色,第四色环是金色,则该电阻

的阻值是220kΩ±10%。

14、MOV A,40H 指令对于源超作数的寻址方式是直接寻址。

指令中直接给出操作数地址(dir)的寻址方式称为直接寻址。以寄存器中的内容为地址,该地址的内容为操作数的寻址方式称为寄存器间接寻址

15、8051系列单片机的ALE信号的作用是地址锁存控制信号。

Address lock enable :地址锁存允许端

15、MCS-8051系列单片机字长是______位。

16、一个10位地址码、8位输出的ROM,其存储容量为。

17、队列和栈的区别是_________。

18、do……while和while……do的区别是_______。

19、在计算机中,一个字节所包含二进制位的个数是______。

20、8051复位后,PC=______。若希望从片内存储器开始执行,EA脚应接 ______ 电平,PC值超过 ______

时,8051会自动转向片外存储器继续取指令执行。

21、8051单片机的存储器的最大特点是 _________。

22、ARM内核支持7种中断,分别是:_____、_____、_____、_____、______、______和______。

23、将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM。该ROM有根地址线,

有根数据读出线。

二、问答题(每题8分,共48分)

1、3、全局变量可不可以定义在可被多个.C文件包含的头文件中?为什么?

2、请指出下面程序的错误。

main()

{

char string[10];

char *str1 = "0123456789";

strcpy( string, str1 );

}

3、要实现Y=A+B的逻辑关系,请正确连接多余端。

B

Y

B

Y (a) (b)

4、在读写数据速度上,Nor-Flash 与Nand-Flash有什么区别?

5、简述帧缓冲区(Frame-buffer)在LCD显示中的作用。

6、选择文件系统时,需考虑Flash存储器的哪些物理特性和使用特点?

三、翻译题(12分)

把下面的英文翻译成中文。

The LM2596 series operates at a switching frequency of 150kHz thus allowing small sized filter components than what would be needed with lower frequency switching regulators.

Available in a standard 5-lead TO-220 package with several different lead bend options, and a 5-lead TO-263 surface mount package.

A standard series of inductors are available from several different manufacturers

optimized for use with the LM2596 series. This feature greatly simplifies the design of switchmode power supplies.

Other features include a guaranteed ±4% tolerance on output voltage under specified input voltage and output load conditions, and ±15% on the oscillator frequency.

External shutdown is included, featuring typically 80 uA standby current. Self protection features include a two stage frequency reducing current limit for the output switch and an over temperature shutdown for complete protection under fault conditions.

四、附加题(写清楚解题思路)

(1)工人为你工作7天,回报为一根金条(既然说是金条,应该就不能将其弯曲吧?)必须在每天付给他们一段,且只能截2次,你将如何付费?

(2)烧一根不均匀的绳子,从头烧到尾总共需要1个小时,现有此种绳无限个,问如何用烧绳子的方法来确定15分钟的时间呢?

(3)现在小明一家过一座桥,过桥时候是黑夜,所以必须有灯。现在小明过桥要1秒,小明的弟弟要3秒,小明的爸爸要6秒,小明的妈妈要8秒,小明的爷爷要12秒。每次此桥最多可过两人,而过桥的速度依过桥最慢者而定,而且灯在点燃后30秒就会熄灭。问小明一家如何过桥?

硬件工程师常见笔试题

分类:硬件电路设计

(203)(0)模拟电路

1、基尔霍夫定理的内容是什么?(仕兰微电子)

基尔霍夫定理包括电流定律和电压定律。

电流定律(KCL):在集总电路中,任何时刻,对任一结点,所有流出结点的支路电流的代数和恒等于零。

电压定律(KVL):在集总电路中,任何时刻,沿任一回路,所有支路电压的代数和恒等于零。

2、平板电容公式(C=εS/4πkd)。(未知)

3、最基本的如三极管曲线特性。(未知)

4、描述反馈电路的概念,列举他们的应用。(仕兰微电子)

5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);

负反馈的优点:(未知)

稳定放大倍数;

改变输入电阻——串联负反馈,增大输入电阻;并联负反馈,减少输入电阻;

改变输出电阻——电压负反馈,减少输出电阻;电流负反馈,增大输出电阻;

有效地扩展放大器的通频带;

改善放大器的线性和非线性失真。

6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子)

频率补偿目的就是减小时钟和相位差,使输入输出频率同步

很多放大电路里都会用到锁相环频率补偿电路

7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知)

8、给出一个查分运放,如何相位补偿,并画补偿后的波特图。(凹凸)

9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺点,特别是广泛采用差分结构的原因。(未知)

10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量。(未知)

11、画差放的两个输入管。(凹凸)

12、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的运放电

路。(仕兰微电子)

13、用运算放大器组成一个10倍的放大器。(未知)

14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点的 rise/fall 时间。(Infineon笔试试题)

15、电阻R和电容C串联,输入电压为R和C之间的电压,输出电压分别为C上电压和R上电压,要求制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低通滤波器。当RC<

16、有源滤波器和无源滤波器的原理及区别?(新太硬件)

若滤波电路仅由无源元件(电阻、电容、电感)组成,则成为无源滤波电路。

若滤波电路由无源元件和有源元件(双极型管、单极型管、集成运放)共同构成,则成为有源滤波电路。

无源滤波电路的通带放大倍数及其截止频率都随负载而变化,这缺点常常不符合信号处理的要求。有源滤波电路一般由RC网络和集成运放构成,因而必须在合适的直流电源供电的情况下才能起滤波作用。有源滤波不适于高电压大电流的负载,只适用于信号处理。

通常,直流电源中整流后的滤波电路均采用无源电路;且在大电流负载时,采用LC电路。

17、有一时域信号S=V0sin(2pif0t)+V1cos(2pif1t)+V2sin(2pif3t+90),当其通过低通、带通、高通滤波器后的信号表示方式。(未知)

18、选择电阻时要考虑什么?(东信笔试题)

19、在CMOS电路中,要有一个单管作为开关管精确传递模拟低电平,这个单管你会用P管还是N管,为什么?(仕兰微电子)

20、给出多个mos管组成的电路求5个点的电压。(Infineon笔试试题)

21、电压源、电流源是集成电路中经常用到的模块,请画出你知道的线路结构,简单描述其优缺点。(仕兰微电子)

22、画电流偏置的产生电路,并解释。(凹凸)

23、史密斯特电路,求回差电压。(华为面试题)

24、晶体振荡器,好像是给出振荡频率让你求周期(应该是单片机的,12分之一周期....) (华为面试题)

25、LC正弦波振荡器有哪几种三点式振荡电路,分别画出其原理图。(仕兰微电子)

变压器反馈式振荡电路、电感反馈式振荡电路、电容反馈式振荡电路

26、VCO是什么,什么参数(压控振荡器?) (华为面试题)

27、锁相环有哪几部分组成?(仕兰微电子)

28、锁相环电路组成,振荡器(比如用D触发器如何搭)。(未知)

29、求锁相环的输出频率,给了一个锁相环的结构图。(未知)

30、如果公司做高频电子的,可能还要RF知识,调频,鉴频鉴相之类,不一一列举。(未知)

31、一电源和一段传输线相连(长度为L,传输时间为T),画出终端处波形,考虑传输线无损耗。给出电源电压波形图,要求绘制终端波形图。(未知)

32、微波电路的匹配电阻。(未知)

33、DAC和ADC的实现各有哪些方法?(仕兰微电子)

34、A/D电路组成、工作原理。(未知)

数字电路

问:四种触发器?区别?

SR触发器:00保持,01置一,10置零,11不定

JK触发器:00保持,01置一,10置零,11翻转

T触发器:0保持,1翻转

D触发器:0置零,1置一

问:设想你将设计完成一个电子电路方案。请简述用EDA软件(如PROTEL)进行设计(包

括原理图和PCB图)到调试出样机的整个过程。在各环节应注意哪些问题?

(1)利用protel 99 SE电路设计与仿真软件

(一)画出原理图。

(二)电气规则检查,生成ERC测试报告

(三)生成报表,包括:网络表,元件列表,层次项目组织列表,元件交叉参考表,引脚列表。

(四)对每个元器件进行封装

(五)导入PCB板,设计布线规则,然后布线

(六)生成PCB报表和PCB板的设计规则校验。

(七)最后将线路打印到铜板上。

(2)将打印好的印制板放入三氯化铁的溶液中腐蚀,腐蚀完后,就进行钻孔,涂上助焊剂后就可以安装了。

1、同步电路和异步电路的区别是什么?(仕兰微电子)

同步电路是说电路里的时钟相互之间是同步的,同步的含义不只局限于同一个CLOCK,而是容许有多个CLOCK,这些CLOCK的周期有倍数关系并且相互之间的相位关系是固定的就可以,比如,10ns, 5ns, 2.5ns 三个CLOCK的电路是同步电路。

异步电路是指CLOCK之间没有倍数关系或者相互之间的相位关系不是固定的,比如5ns, 3ns 两个CLOCK是异步的。所以异步电路只有靠仿真来检查电路正确与否。

异步电路主要是组合逻辑电路,用于产生地址译码器、FIFO或RAM的读写控制信号脉冲,但它同时也用在时序电路中,此时它没有统一的时钟,状态变化的时刻是不稳定的,通常输入信号只

在电路处于稳定状态时才发生变化。也就是说一个时刻允许一个输入发生变化,以避免输入信号之间造成的竞争冒险。电路的稳定需要有可靠的建立时间和持时间。

同步电路是由时序电路(寄存器和各种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的。这些时序电路共享同一个时钟CLK,而所有的状态变化都是在时钟的上升沿(或下降沿)完成的。比如D触发器,当上升延到来时,寄存器把D端的电平传到Q输出端。

2、什么是同步逻辑和异步逻辑?(汉王笔试)

同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。

3、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试)

线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现,由于不用oc门可能使灌电流过大,而烧坏逻辑门。同时在输出端口应加一个上拉电阻。

4、什么是Setup 和Holdup时间?(汉王笔试)

5、setup和holdup时间,区别.(南山之桥)

6、解释setup time和hold time的定义和在时钟信号延迟时的变化。(未知)

7、解释setup和hold time violation,画图说明,并说明解决办法。(威盛VIA

2003.11.06 上海笔试试题)

Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间- Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数据才能被打入触发器。保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间。如果hold time 不够,数据同样不能被打入触发器。建立时间(Setup Time)和保持时间(Hold time)。建立时间是指在时钟边沿前,数据信号需要保持不变的时间。保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。如果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现metastability的情况。如果数据信号在

时钟沿触发前后持续的时间均超过建立和保持时间,那么超过量就分别被称为建立时间裕量和保持时间裕量。

8、说说对数字逻辑中的竞争和冒险的理解,并举例说明竞争和冒险怎样消除。(仕兰微电子)

9、什么是竞争与冒险现象?怎样判断?如何消除?(汉王笔试)

在组合电路中,信号经由不同的途径达到某一会合点的时间有先有后,这种现象称为竞争。

由于竞争而引起电路输出发生瞬间错误现象称为冒险。表现为输出端出现了原设计中没有的窄脉冲,常称其为毛刺。

只要输出端的逻辑函数在一定条件下能简化成Y=A+A' 或Y=A.A' ,则可判断存在竞争-冒险现象。

消除方法:接入滤波电容、引入选通脉冲、修改逻辑设计(增加冗余项)

10、你知道那些常用逻辑电平?TTL与COMS电平可以直接互连吗?(汉王笔试)

常用逻辑电平:12V,5V,3.3V;TTL和CMOS不可以直接互连,由于TTL是在0.3-3.6V之间,而CMOS则是有在12V的有在5V的。CMOS输出接到TTL是可以直接互连。TTL接到CMOS 需要在输出端口加一上拉电阻接到5V或者12V。

11、如何解决亚稳态。(飞利浦-大唐笔试)

亚稳态是指触发器无法在某个规定时间段内达到一个可确认的状态。当一个触发器进入亚

稳态时,既无法预测该单元的输出电平,也无法预测何时输出才能稳定在某个正确的电平

上。在这个稳定期间,触发器输出一些中间级电平,或者可能处于振荡状态,并且这种无

用的输出电平可以沿信号通道上的各个触发器级联式传播下去。

12、IC设计中同步复位与异步复位的区别。(南山之桥)

13、MOORE 与 MEELEY状态机的特征。(南山之桥)

14、多时域设计中,如何处理信号跨时域。(南山之桥)

15、给了reg的setup,hold时间,求中间组合逻辑的delay范围。(飞利浦-大唐笔试)

Delay < period - setup – hold

16、时钟周期为T,触发器D1的建立时间最大为T1max,最小为T1min。组合逻辑电路最大延迟为T2max,最小为T2min。问,触发器D2的建立时间T3和保持时间应满足什么条件。(华为)

17、给出某个一般时序电路的图,有Tsetup,Tdelay,Tck->q,还有 clock的delay,写出决

定最大时钟的因素,同时给出表达式。(威盛VIA 2003.11.06 上海笔试试题)

18、说说静态、动态时序模拟的优缺点。(威盛VIA 2003.11.06 上海笔试试题)

19、一个四级的Mux,其中第二级信号为关键信号如何改善timing。(威盛VIA

2003.11.06 上海笔试试题)

20、给出一个门级的图,又给了各个门的传输延时,问关键路径是什么,还问给出输入,

使得输出依赖于关键路径。(未知)

21、逻辑方面数字电路的卡诺图化简,时序(同步异步差异),触发器有几种(区别,优点),全加器等等。(未知)

22、卡诺图写出逻辑表达使。(威盛VIA 2003.11.06 上海笔试试题)

23、化简F(A,B,C,D)= m(1,3,4,5,10,11,12,13,14,15)的和。(威盛)

24、please show the CMOS inverter schmatic,layout and its cross sectionwith P-

well process.Plot its transfer curve (Vout-Vin) And also explain the

operation region of PMOS and NMOS for each segment of the transfer curve? (威

盛笔试题circuit design-beijing-03.11.09)

25、To design a CMOS invertor with balance rise and fall time,please define

the ration of channel width of PMOS and NMOS and explain?

26、为什么一个标准的倒相器中P管的宽长比要比N管的宽长比大?(仕兰微电子)

27、用mos管搭出一个二输入与非门。(扬智电子笔试)

28、please draw the transistor level schematic of a cmos 2 input AND gate and

explain which input has faster response for output rising edge.(less delay

time)。(威盛笔试题circuit design-beijing-03.11.09)

29、画出NOT,NAND,NOR的符号,真值表,还有transistor level的电路。(Infineon笔试)

30、画出CMOS的图,画出tow-to-one mux gate。(威盛VIA 2003.11.06 上海笔试试题)

31、用一个二选一mux和一个inv实现异或。(飞利浦-大唐笔试)

32、画出Y=A*B+C的cmos电路图。(科广试题)

33、用逻辑们和cmos电路实现ab+cd。(飞利浦-大唐笔试)

34、画出CMOS电路的晶体管级电路图,实现Y=A*B+C(D+E)。(仕兰微电子)

35、利用4选1实现F(x,y,z)=xz+yz’。(未知)

36、给一个表达式f=xxxx+xxxx+xxxxx+xxxx用最少数量的与非门实现(实际上就是化简)。

37、给出一个简单的由多个NOT,NAND,NOR组成的原理图,根据输入波形画出各点波形。(Infineon笔试)

38、为了实现逻辑(A XOR B)OR (C AND D),请选用以下逻辑中的一种,并说明为什么?1)INV 2)AND 3)OR 4)NAND 5)NOR 6)XOR 答案:NAND

39、用与非门等设计全加法器。(华为)

40、给出两个门电路让你分析异同。(华为)

41、用简单电路实现,当A为输入时,输出B波形为…(仕兰微电子)

42、A,B,C,D,E进行投票,多数服从少数,输出是F(也就是如果A,B,C,D,E中1的个数比0 多,那么F输出为1,否则F为0),用与非门实现,输入数目没有限制。(未知)

43、用波形表示D触发器的功能。(扬智电子笔试)

44、用传输门和倒向器搭一个边沿触发器。(扬智电子笔试)

45、用逻辑们画出D触发器。(威盛VIA 2003.11.06 上海笔试试题)

46、画出DFF的结构图,用verilog实现之。(威盛)

47、画出一种CMOS的D锁存器的电路图和版图。

48、D触发器和D锁存器的区别。(新太硬件面试)

49、简述latch和filp-flop的异同。(未知)

50、LATCH和DFF的概念和区别。(未知)

51、latch与register的区别,为什么现在多用register.行为级描述中latch如何产生的。(南山之桥)

52、用D触发器做个二分颦的电路.又问什么是状态图。(华为)

53、请画出用D触发器实现2倍分频的逻辑电路?(汉王笔试)

54、怎样用D触发器、与或非门组成二分频电路?(东信笔试)

55、How many flip-flop circuits are needed to divide by 16? (Intel) 16分频?

56、用filp-flop和logic-gate设计一个1位加法器,输入carryin和current-stage,输出carryout和next-stage. (未知)

57、用D触发器做个4进制的计数。(华为)

58、实现N位Johnson Counter,N=5。(南山之桥)

59、用你熟悉的设计方式设计一个可预置初值的7进制循环计数器,15进制的呢?(仕兰微电子)

60、数字电路设计当然必问Verilog/VHDL,如设计计数器。

61、BLOCKING NONBLOCKING 赋值的区别。(南山之桥)

62、写异步D触发器的verilog module。(扬智电子笔试)

module dff8(clk , reset, d, q);

input clk;

input reset;

input [7:0] d;

output [7:0] q;

reg [7:0] q;

always @ (posedge clk or posedge reset)

if(reset)

q <= 0;

else

q <= d;

endmodule

63、用D触发器实现2倍分频的Verilog描述?(汉王笔试)

module divide2( clk , clk_o, reset);

input clk , reset;

output clk_o;

wire in;

reg out ;

always @ ( posedge clk or posedge reset)

if ( reset)

out <= 0;

else

out <= in;

assign in = ~out;

assign clk_o = out;

endmodule

64、可编程逻辑器件在现代电子设计中越来越重要,请问:a) 你所知道的可编程逻辑器件有哪些? b) 试用VHDL或VERILOG、ABLE描述8位D触发器逻辑。(汉王笔试)PAL,PLD,CPLD,FPGA。

module dff8(clk , reset, d, q);

input clk;

input reset;

input d;

output q;

reg q;

always @ (posedge clk or posedge reset)

if(reset)

q <= 0;

else

q <= d;

endmodule

65、请用HDL描述四位的全加法器、5分频电路。(仕兰微电子)

66、用VERILOG或VHDL写一段代码,实现10进制计数器。(未知)

67、用VERILOG或VHDL写一段代码,实现消除一个glitch。(未知)

68、一个状态机的题目用verilog实现(不过这个状态机画的实在比较差,很容易误解的)。(威盛VIA 2003.11.06 上海笔试试题)

69、描述一个交通信号灯的设计。(仕兰微电子)

70、画状态机,接受1,2,5分钱的卖报机,每份报纸5分钱。(扬智电子笔试)

71、设计一个自动售货机系统,卖soda水的,只能投进三种硬币,要正确的找回钱

数。(1)画出fsm(有限状态机);(2)用verilog编程,语法要符合fpga设计

的要求。(未知)

72、设计一个自动饮料售卖机,饮料10分钱,硬币有5分和10分两种,并考虑找零:(1)画出fsm(有限状态机);(2)用verilog编程,语法要符合fpga设计的要求;(3)设计工程中可使用的工具及设计大致过程。(未知)

73、画出可以检测10010串的状态图,并verilog实现之。(威盛)

74、用FSM实现101101的序列检测模块。(南山之桥)

a为输入端,b为输出端,如果a连续输入为1101则b输出为1,否则为0。

例如a: 0001100110110100100110

b: 0000000000100100000000

请画出state machine;请用RTL描述其state machine。(未知)

75、用verilog/vddl检测stream中的特定字符串(分状态用状态机写)。(飞利浦-大唐

笔试)

76、用verilog/vhdl写一个fifo控制器(包括空,满,半满信号)。(飞利浦-大唐笔试)

77、现有一用户需要一种集成电路产品,要求该产品能够实现如下功能:y=lnx,其中,x

为4位二进制整数输入信号。y为二进制小数输出,要求保留两位小数。电源电压为3~5v假

设公司接到该项目后,交由你来负责该产品的设计,试讨论该产品的设计全程。(仕兰微

电子)

78、sram,falsh memory,及dram的区别?(新太硬件面试)

79、给出单管DRAM的原理图(西电版《数字电子技术基础》作者杨颂华、冯毛官205页图9 -14b),问你有什么办法提高refresh time,总共有5个问题,记不起来了。(降低温

度,增大电容存储容量)(Infineon笔试)

80、Please draw schematic of a common SRAM cell with 6 transistors,point out

which nodes can store data and which node is word line control? (威盛笔试题

circuit design-beijing-03.11.09)

81、名词:sram,ssram,sdram

82、What is PC Chipset?

芯片组(Chipset)是主板的核心组成部分,按照在主板上的排列位置的不同,通常分为北桥芯片和南桥芯片。北桥芯片提供对CPU 的类型和主频、内存的类型和最大容量、ISA/PCI/AGP插槽、ECC纠错等支持。南桥芯片则提供对KBC(键盘控制器)、RTC(实时时钟控制器)、USB(通用串行总线)、Ultra DMA/33(66)EIDE数据传输方式和ACPI(高级能源管理)等的支持。其中北桥芯片起着主导性的作用,也称为主桥(Host Bridge)。

除了最通用的南北桥结构外,目前芯片组正向更高级的加速集线架构发展,Intel的8xx系列芯片组就是这类芯片组的代表,它将一些子系统如IDE接口、音效、MODEM和USB直接接入主芯片,能够提供比PCI总线宽一倍的带宽,达到了266MB/s。

1、基尔霍夫定理的内容是什么?(仕兰微电子)

2、平板电容公式(C=εS/4πkd)。(未知)

3、最基本的如三极管曲线特性。(未知)

4、描述反馈电路的概念,列举他们的应用。(仕兰微电子)

5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反

馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用)(未知)

6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子)

7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知)

8、给出一个查分运放,如何相位补偿,并画补偿后的波特图。(凹凸)

9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺

点,特别是广泛采用差分结构的原因。(未知)

10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量。(未知)

11、画差放的两个输入管。(凹凸)

12、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的运放电路。(仕兰微电子)

13、用运算放大器组成一个10倍的放大器。(未知)

14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点

的rise/fall时间。(Infineon笔试试题)

15、电阻R和电容C串联,输入电压为R和C之间的电压,输出电压分别为C上电压和R上电压,要求绘制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低通滤

波器。当RC<

16、有源滤波器和无源滤波器的原理及区别?(新太硬件)

17、有一时域信号S=V0sin(2pif0t)+V1cos(2pif1t)+V2sin(2pif3t+90),当其通过低通、

带通、高通滤波器后的信号表示方式。(未知)

18、选择电阻时要考虑什么?(东信笔试题)

19、在CMOS电路中,要有一个单管作为开关管精确传递模拟低电平,这个单管你会用P管

还是N管,为什么?(仕兰微电子)

20、给出多个mos管组成的电路求5个点的电压。(Infineon笔试试题)

21、电压源、电流源是集成电路中经常用到的模块,请画出你知道的线路结构,简单描述

其优缺点。(仕兰微电子)

22、画电流偏置的产生电路,并解释。(凹凸)

23、史密斯特电路,求回差电压。(华为面试题)

24、晶体振荡器,好像是给出振荡频率让你求周期(应该是单片机的,12分之一周期....)

(华为面试题)

25、LC正弦波振荡器有哪几种三点式振荡电路,分别画出其原理图。(仕兰微电子)

26、VCO是什么,什么参数(压控振荡器?) (华为面试题)

27、锁相环有哪几部分组成?(仕兰微电子)

28、锁相环电路组成,振荡器(比如用D触发器如何搭)。(未知)

29、求锁相环的输出频率,给了一个锁相环的结构图。(未知)

30、如果公司做高频电子的,可能还要RF知识,调频,鉴频鉴相之类,不一一列举。(未知)

31、一电源和一段传输线相连(长度为L,传输时间为T),画出终端处波形,考虑传输线

无损耗。给出电源电压波形图,要求绘制终端波形图。(未知)

32、微波电路的匹配电阻。(未知)

33、DAC和ADC的实现各有哪些方法?(仕兰微电子)

34、A/D电路组成、工作原理。(未知)

35、实际工作所需要的一些技术知识(面试容易问到)。如电路的低功耗,稳定,高速如何做到,调运放,布版图注意的地方等等,一般会针对简历上你所写做过的东西具体问,肯

定会问得很细(所以别把什么都写上,精通之类的词也别用太多了),这个东西各个人就

不一样了,不好说什么了。(未知)

_______________________________________________________________________

数字电路

1、同步电路和异步电路的区别是什么?(仕兰微电子)

2、什么是同步逻辑和异步逻辑?(汉王笔试)

同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。3、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试)

线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现,由于不用oc门可能使灌电流过大,而烧坏逻辑门。同时在输出端口应加一个上拉电阻。

4、什么是Setup 和Holdup时间?(汉王笔试)

5、setup和holdup时间,区别.(南山之桥)

6、解释setup time和hold time的定义和在时钟信号延迟时的变化。(未知)

7、解释setup和hold time violation,画图说明,并说明解决办法。(威盛VIA

2003.11.06 上海笔试试题)

Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发

开关电源工程师面试题

开关电源工程师面试题 共25题1---20题每题3分21---25题每题8分 1,一般情况下,同功率的开关电源与线性电源相比,_____。 A,体积大,效率高B,体积小,效率低 C,体积不变,效率低D,体积小,效率高 2,大功率开关电源常用变换拓扑结构形式是_____。 A,反激式B,正激式C,自激式D,他激式 3,一般来说,提高开关电源的频率,则电源_____。 A,同体积时,增大功率B,功率减小,体积也减小 C,功率增大,体积也增大D,效率提高,体积增大 4,肖特基管和快恢复管常作为开关电源的____。 A,输入整流管B,输出整流管 C,电压瞬变抑制管D,信号检波管 5,肖特基管与快恢复管相比,____。 A,耐压高B,反向恢复时间长 C,正向压降大D,耐压低,正向压降小 6,G T R、S C R、G T O、T R I A C、M O S F E T、I G B T中,那些是开关电源中变压器常用的驱动元件?____。 A,G T O和G T R B,T R I A C和I G B T C,M O S F E T和I G B T D,S C R和M O S F E T 7,开关电源变压器的损耗主要包括:____。 A,磁滞损耗、铜阻损耗、涡流损B,磁滞损耗、铜阻损耗、介电损耗C,铜阻损耗、涡流损耗、介电损D,磁滞损耗、涡流损耗、介电损耗8,开关电源变压器的初级漏感测量方法是___。 A,次级开路,测初级电感B,初级开路,测次级电感 C,次级短路,测初级电感D,初级短路,测次级电感 9,开关电源变压器的激磁电感测量方法是___。 A,次级开路,测初级电感B,初级开路,测次级电感 C,次级短路,测初级电感D,初级短路,测次级电感 10,变压器初次级间加屏蔽层的目的是_____。 A,减小初次间分布电感引起的干扰B,减小初次间分布电容引起的干扰C,提高效率D,增大绝缘能力 11,减小开关驱动管的损耗主要途经是_____。 A,选用低导通电阻的驱动管B,提高驱动管的驱动信号的边沿陡度C,提高开关频率D,A和B及减小开关频率

2019年《电子专业工程师(中级职称)》试卷

2019年《电子专业工程师(中级职称)》试卷 一、判断题 1.右列等式成立:A BC+A B C+AB C+ABC=AB+AC+BC。(√) 2.BJT的输入特性近似于恒流特性,故其输出电阻很大。(Χ) 3.NPN管与PNP管不可同时在同一正电源供电的电路中使用(Χ) 4.一方波或矩形波信号通过一放大器后,其被顶由平变为下垂,则表明此放大 器的下限截止频率f不够高。(√) 5.机械式三用表和数字式三用表均可对音频电压进行测量。(√) 6.与非门的输入端若有一个端子接低电平0,它的输出必为低电平0. (Χ) 7.在很高频率工作时,半导体二极管很可能失去单向导电性能。(√) 8.叠加定理和基尔霍夫定理既适用于线性电路,也适用于非线性电路。(Χ) 9.大信号功率放大器既可以用等效电路法分析,也可以用图解法分析。(Χ) 10.一个容量为102的电容器,它对10Hz的信号近似为开路,对100MHz的信号 近似为短路。(√) 11.计算机系统中的时钟频率即为 CPU 执行一个微操作所需的时间,它也称为 计算机的主频。(√) 12.JK触发器的两输入端若J=K,则在CP脉冲过后Q n+1=Q。(Χ) 13.存储器中首末地址为1FFFH~4FFFH的存储量为16KB. (√) 14.在桥式整流,电容滤波电路中,若输入交流信号为10V,则整流二极管的耐 压至少在20V以上。(Χ) 15.1MHz的方波信号经过合适的滤波电路,可获得1MHz或3MHz的正弦波输出。 (√) 16.负反馈能展宽放大器的频带宽度,使上、下线截止频率均增大。 (Χ) 17.与平行双线相比,双绞线的分布参数小,更易传送高速数据。 (√) 18.一放大器的输出电阻为50Ω,输出电压为1V,接至示波器50Ω接入端测试, 所得电压变为0.5V。(√) 1

弱电方案工程师招聘要求

建筑智能化工程师招聘要求 弱电设计工程师 岗位职责: 1、深刻理解用户需求、提出合理的工程项目技术方案;进行投标技术方案与施工组织设计的编写及图纸设计工作; 2、能在部门经理的组织协调下进行工程项目深化设计,参与设计评审,提出意见和建议;能承担设计方案、图纸的审核校对工作;能独立完成施工图绘制工作; 3、能跟踪、把握本专业国际国内技术发展现状,追踪前沿技术; 4、能合理控制所负责工作的进度和质量,与用户进行良好沟通,提供准确到位的技术咨询服务; 任职要求: 1、自动化控制、机电一体化、计算机网络技术、通信工程、楼宇智能化、建筑电气等相关专业大专或以上学历; 2、熟练使用CAD /office等办公软件; 3、熟悉建筑智能化工程设计、施工规范; 4、对建筑智能化工程投标有经验,能独立完成弱电各系统的方案设计、施工组织计划编制工作; 5、具有良好的文字表达能力、较强沟通协调能力及良好职业道德,工作责任心强; 6、具有相关专业职称证书及建造师证书者优先。 弱电项目经理 岗位职责: 1、负责整个项目的日常管理与资源调配,推进项目的进行,解决各种紧急事件,保证工程保质保量按时完成; 2、配合甲方组织的弱电系统方案设计审查会;遵守国家有关设计规程、规范;主持制定系统施工设计方案,制定专业施工设计资料交付文件格式,配合甲方组织系统施工设计图会审,审查管线图和安装图; 4 、负责协调本专业项目施工,协助前期办理相关手续; 5、配合甲方组织弱电系统施工协调会;参加工程例会,及时处理相关事务;配合工程监理,协调施工;向甲方工程代表和指挥部提交工程月、周报和工程进度报告,申请工程进度款;管理协调施工与相关施工单位关系;紧急事件无法处理则与公司沟通,及时处理相关事务;审核施工队的施工进度,批准其相关工程进度款;执行工程预算及项目奖惩办法,签署工程月、周工地报告,检查和评估现场各部门的工作任务和业绩,召集内部工地现场例会; 6、配合甲方和工程监理,组织验收; 7、负责售后服务的计划和措施的跟踪、落实。 任职要求: 1、建筑电气、自动化、机电工程类或相关专业中专及以上学历; 2、熟练使用CAD /office等办公软件; 3、可完成竣工图纸绘制; 4、2-3年通信工程、或弱电工程项目管理经验

硬件工程师面试题集(含答案-很全)

硬件工程师面试题集 (DSP,嵌入式系统,电子线路,通讯,微电子,半导体) 1、下面是一些基本的数字电路知识问题,请简要回答之。 (1) 什么是Setup和Hold 时间? 答:Setup/Hold Time 用于测试芯片对输入信号和时钟信号之间的时间要求。建立时间(Setup Time)是指触发器的时钟信号上升沿到来以前,数据能够保持稳定不变的时间。输入数据信号应提前时钟上升沿(如上升沿有效)T 时间到达芯片,这个T就是建立时间通常所说的SetupTime。如不满足Setup Time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿到来时,数据才能被打入触发器。保持时间(Hold Time)是指触发器的时钟信号上升沿到来以后,数据保持稳定不变的时间。如果Hold Time 不够,数据同样不能被打入触发器。 (2) 什么是竞争与冒险现象?怎样判断?如何消除? 答:在组合逻辑电路中,由于门电路的输入信号经过的通路不尽相同,所产生的延时也就会不同,从而导致到达该门的时间不一致,我们把这种现象叫做竞争。由于竞争而在电路输出端可能产生尖峰脉冲或毛刺的现象叫冒险。如果布尔式中有相反的信号则可能产生竞争和冒险现象。解决方法:一是添加布尔式的消去项,二是在芯片外部加电容。 (3) 请画出用D 触发器实现2 倍分频的逻辑电路 答:把D 触发器的输出端加非门接到D 端即可,如下图所示: (4) 什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求? 答:线与逻辑是两个或多个输出信号相连可以实现与的功能。在硬件上,要用OC 门来实现(漏极或者集电极开路),为了防止因灌电流过大而烧坏OC 门,应在OC 门输出端接一上拉电阻(线或则是下拉电阻)。 (5) 什么是同步逻辑和异步逻辑?同步电路与异步电路有何区别? 答:同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系.电路设计可分类为同步电路设计和异步电路设计。同步电路利用时钟脉冲使其子系统同步运作,而异步电路不使用时钟脉冲做同步,其子系统是使用特殊的“开始”和“完成”信号使之同步。异步电路具有下列优点:无时钟歪斜问题、低电源消耗、平均效能而非最差效能、模块性、可组合和可复用性。 (7) 你知道那些常用逻辑电平?TTL 与COMS 电平可以直接互连吗? 答:常用的电平标准,低速的有RS232、RS485、RS422、TTL、CMOS、LVTTL、LVCMOS、ECL、ECL、LVPECL 等,高速的有LVDS、GTL、PGTL、CML、HSTL、SSTL 等。 一般说来,CMOS 电平比TTL 电平有着更高的噪声容限。如果不考虑速度和性能,一般TTL 与CMOS 器件可以互换。但是需要注意有时候负载效应可能引起电路工作不正常,因为有些TTL 电路需要下一级的输入阻抗作为负载才能正常工作。 (6) 请画出微机接口电路中,典型的输入设备与微机接口逻辑示意图(数据接口、控制接口、锁存器/缓冲器)

电子工程师考试试题(卷)

电子工程师考试试题 一、名词解释:10 1、电池容量: 2、PCM(保护线路规格): 二、问答题:20 1、如何处理电路中接地的问题?若多个地之间应该怎样隔离?在进行PCB设计时,怎么解决多个地连接在一起对电路的干扰? 2、功率场效应管(MOS FET)分为哪两类?都有什么区别?它在开关电源和功率电子线路中都有什么用途?在实际使用功率场效应管的过程中需要注意些什么问题? 三、简答题:30 1、请简述基本运算电路的分类和相关作用,并画出相应的简图。 2、以下是开关电源的两种工作示意图,请简单描述其变换类型、工作原理和工作过程: 图一 图二

四、综合题:40 请简述以下电路的功能、工作原理及每个元器件的用途(TL431为2.5V的基准电压源),若要让电路实现以下功能: 6.0V<VDD< 7.1V时,亮1个LED; 7.1V<VDD<7.4V时,亮2个LED; 7.4V<VDD<7.7V时,亮3个LED; 7.7V<VDD<8.4V时,亮4个LED, 电路中R7、R8、R9的阻值分别为多少?请写出简单的计算思路和过程。 电子设计工程师认证综合知识考试模拟试题 一、是非题(每题1.5分,共24分) 1、()变容二极管正常工作时,应加正向电压。 2、()TTL门电路的标准高电平为+12V。 3、()通常,电容器的品质因素(Q值)要高于电感器。 4、()信号源的输出电阻应当大一点好,如此可增大它的带载能力。 5、()模拟示波器中,不论是连续扫描还是触发扫描,扫描信号都应与被测信号同步。 6、()要测量某一放大器或网络的幅频特性,应选用频谱分析仪作为测量仪器。 7、()可以直接购置到100PF、1100PF的电容器。

硬件工程师笔试题硬件工程师笔试题

硬件工程师面试试题 模拟电路 1、基尔霍夫定理的内容是什么?(仕兰微电子) 2、平板电容公式(C=εS/4πkd)。(未知) 3、最基本的如三极管曲线特性。(未知) 4、描述反馈电路的概念,列举他们的应用。(仕兰微电子) 5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用)(未知) 6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子) 7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知) 8、给出一个查分运放,如何相位补偿,并画补偿后的波特图。(凹凸) 9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺点,特别是广泛采用差分结构的原因。(未知) 10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量。(未知) 11、画差放的两个输入管。(凹凸) 12、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的运放电路。(仕兰微电子) 13、用运算放大器组成一个10倍的放大器。(未知) 14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点的 rise/fall时间。(Infineon笔试试题) 15、电阻R和电容C串联,输入电压为R和C之间的电压,输出电压分别为C 上电压和R上电压,要求制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低通滤波器。当RC<

电子工程师综合知识试题有答案

电子设计工程师综合知识考试试卷(含答案) 2009-1-12 一、是非题(每题1.5分,共24分) 1、(对)三只普通硅二极管串联,可作2.1伏稳压管使用。 2、(对)在很高频率的条件下工作,晶体二极管将失去单向 导电性能。 3、(对)桥式整流,负载为电容滤波的电路中,若电容器上 的电压为17伏,则整流器输入的交流信号有效值一般 要大于17伏。 4、(错)交流放大器级间耦合电容器的容量若减小,则放大 器的低频特性将变差,即f L将减小。 5、(错)共发射极晶体管放大器与共源极场效应管放大器相 比,前者所允许的输入信号幅值将大于后者。 6、(错)触发器的置位端(置1端)至输出端的信号延时量 一定大于触发器由输入端至输出端的延时量。 7、(错)在实际电路中,与门、与非门的多余输入端口最好 都接高电平,以免干扰信号窜入电路。 8、(对)译码器、加法器、触发器等都属于组合逻辑电路。 9、(对)计算机系统既应包括硬件系统,也应包括软件系统。 10、(错)计算机的机器语言能为硬件电路所识别,它与所 用CPU的类型无关。

11、(对)MCS-51单片机的复位电平均为低电平,其持续(保 持)时间应在2个机器周期以上。 12、(对)MCS-51单片机与80C51单片机是完全兼容的。 13、(错)要对20H Z~10 k H Z的语音信号进行A/D转换,则 采样信号的频率应在10KH Z以上。 14、(对)信号源的输出阻抗一般均较低,电压表的输入阻 抗均较高。 15、(错)直流稳压电源的内阻愈高,它的输出电压稳定性 能就愈好。 16、(对)扫频仪是用来检测、分析信号频谱结构的一种测量 仪器。 二、选择题(每题2分,共26分) 1、( A )标注为2P2的电容器,其电容值为: A、2.2PF B、22PF C、220PF D、0.22uF 2、( B )在色环(带)标注的电阻值的电阻体上,棕色代表数字: A、0 B、1 C、2 D、3 3、( D )稳压管、变容二极管在正常工作时,应: A、二者均加正向电压 B、二者均加反向电压

电子工程师招聘笔试题及详细解析(不看后悔)

一、 二、 三、基础题(每空1分,共40分) 1、晶体三极管在工作时,发射结和集电结均处于正向偏置,该晶体管工作在饱和_状态。 1.截止状态:基极电流Ib=0,集电极电流Ic=0,b-ePN结临界正向偏置到反向偏置,b-cPN结反向偏置。 2.放大状态:集电极电流随基极电流变化而变化,Ic=βIb,b-ePN结正向偏置,b-cPN结反向偏置。 3.饱和状态:集电极电流达到最大值,基极电流再增加集电极流也不会增加,这时的一个特征是b-ePN结、b-cPN结都正向偏置 2、TTL门的输入端悬空,逻辑上相当于接高电平。 3、TTL电路的电源电压为5V,CMOS电路的电源电压为3V-18V 。 4、在TTL门电路的一个输入端与地之间接一个10K电阻,则相当于在该输入端输入低电平;在CMOS门电 路的输入端与电源之间接一个1K电阻,相当于在该输入端输入高电平。 5、二进制数(11010010)2转换成十六进制数是D2。 6、逻辑电路按其输出信号对输入信号响应的不同,可以分为组合逻辑电路和时序逻辑电路两大类。 7、组成一个模为60的计数器,至少需要6个触发器。 一个触发器相当于一位存储单元,可以用六个触发器搭建异步二进制计数器,这样最多能计63个脉冲 8、在数字电路中,三极管工作在截止和饱和状态。 9、一个门电路的输出端能带同类门的个数称为扇出系数。 10、使用与非门时多余的输入脚应该接高电平,使用或非门时多余的输入脚应该接低电平。 与非门:若当输入均为高电平(1),则输出为低电平(0);若输入中至少有一个为低电平(0),则输出为高电平(1)。所以多余的输入脚接高电平或非门:若当输入均为低电平(1),则输出为高电平(0);若输入中至少有一个为高电平(0),则输出为低电平(1)。所以多余的输入脚接低电平 11、贴片电阻上的103代表10k。 12、USB支持控制传输、同步传输、中断传输和批量传输等四种传输模式。 13、一个色环电阻,如果第一色环是红色,第二色环是红色,第三色环是黄色,第四色环是金色,则该电阻 的阻值是220k±10%。 14、MOV A,40H 指令对于源超作数的寻址方式是直接寻址。 指令中直接给出操作数地址(dir)的寻址方式称为直接寻址。以寄存器中的内容为地址,该地址的内容为操作数的寻址方式称为寄存器间接寻址

电子工程师招聘笔试题及详细解析.doc

一、基础题(每空 1 分,共 40 分) 1、晶体三极管在工作,射和集均于正向偏置,晶体管工作在和 _状。 1. 截止状态:基极电流 Ib=0 ,集电极电流 Ic=0 , b-ePN 结临界正向偏置到反向偏置,b-cPN 结反向偏置。 2. 放大状态:集电极电流随基极电流变化而变化, Ic= βIb , b-ePN 结正向偏置, b-cPN 结反向偏置。 3. 饱和状态:集电极电流达到最大值,基极电流再增加集电极流也不会增加,这时的一个特征是b-ePN 结、 b-cPN 结都正向偏置 2、 TTL 的入端空,上相当于接高平。 3、 TTL 路的源5V, CMOS路的源3V-18V 。 4、在 TTL 路的一个入端与地之接一个10K阻,相当于在入端入 低平;在CMOS路的入端与源之接一个1K阻,相当于在入端入高平。 5、二制数() 2 成十六制数是D2。 6、路按其出信号入信号响的不同,可以分合路和序路两大。 7、成一个模60 的数器,至少需要 6 个触器。 一个触发器相当于一位存储单元,可以用六个触发器搭建异步二进制计数器,这样最多能计63 个脉冲 8、在数字路中,三极管工作在截止和和状。 9、一个路的出端能同的个数称扇出系数。 10、使用与非多余的入脚接高平,使用或非多余的入脚接低平。 与非门:若当输入均为高电平(1),则输出为低电平(0);若输入中至少有一个为低电平(0),则输出为高电平(1)。所以多余的输入脚接高电平或非门:若当输入均为低电平(1),则输出为高电平(0);若输入中至少有一个为高电平(0),则输出为低电平(1)。所以多余的输入脚接低电平 11、片阻上的103 代表10k 。 12、USB支持控制、同步、中断和批量等四种模式。 13、一个色阻,如果第一色是色,第二色是色,第三色是黄色,第四色是金色,阻 的阻是220k± 10%。 14、MOV A, 40H 指令于源超作数的址方式是直接址。 指令中直接出操作数地址(dir )的址方式称直接址。以寄存器中的内容地址,地址的内容操 作数的址方式称寄存器接址 15、 8051 系列单片机的 ALE信号的作用是地址存控制信号。 Address lock enable:地址锁存允许端 15、MCS-8051系列片机字是 ______位。 16、一个 10 位地址、 8 位出的 ROM,其存容量。 17、列和的区是 _________。 18、do?? while 和 while ?? do 的区是 _______。 19、在算机中,一个字所包含二制位的个数是______。 20、8051 复位后, PC=______。若希望从片内存器开始行,EA 脚接 ______ 平, PC超 ______

常见硬件工程师笔试题标准答案

硬件工程师笔试题 一、电路分析: 1、竞争与冒险 在组合逻辑中,在输入端的不同通道数字信号中经过了不同的延时,导致到达该门的时间不一致叫竞争。因此在输出端可能产生短时脉冲(尖峰脉冲)的现象叫冒险。 常用的消除竞争冒险的方法有:输入端加滤波电容、选通脉冲、修改逻辑设计等。 2、同步与异步 同步逻辑就是时钟之间有固定的因果关系。异步逻辑就是各时钟之间没有固定的因果关系。同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。 异步电路:电路没有统一的时钟,有些触发器的时钟输入端与时钟脉冲源相连,只有这些触发器的状态变化与时钟脉冲同步,而其它的触发器的状态变化不与时钟脉冲同步。 异步电路不使用时钟脉冲做同步,其子系统就是使用特殊的“开始”与“完成”信号使之同步 同步就就是双方有一个共同的时钟,当发送时,接收方同时准备接收。异步双方不需要共同的时钟,也就就是接收方不知道发送方什么时候发送,所以在发送的信息中就要有提示接收方开始接收的信息,如开始位,结束时有停止位 3、仿真软件:Proteus 4、Setup 与Hold time Setup/hold time 就是测试芯片对输入信号与时钟信号之间的时间要求。建立时间就是指触发器的时钟信号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就就是建立时间-Setup time、如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数据才能被打入触发器。保持时间就是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间。如果hold time不够,数据同样不能被打入触发器。 5、IC设计中同步复位与异步复位的区别 同步复位在时钟沿采集复位信号,完成复位动作。异步复位不管时钟,只要复位信号满足条件,就完成复位动作。异步复位对复位信号要求比较高,不能有毛刺,如果其与时钟关系不确定,也可能出现亚稳态。 6、常用的电平标准 TTL: transistor-transistor logic gate晶体管-晶体管逻辑门 CMOS:Complementary Metal Oxide Semiconductor互补金属氧化物半导体 LVTTL(Low Voltage TTL)、LVCMOS(Low Voltage CMOS):3、3V、2、5V RS232、RS485 7、TTL电平与CMOS电平

电子工程师招聘笔试题及详细解析(不看后悔)分析

一、基础题(每空1分,共40分) 1、晶体三极管在工作时,发射结和集电结均处于正向偏置,该晶体管工作在饱和_状态。 1.截止状态:基极电流Ib=0,集电极电流Ic=0,b-ePN结临界正向偏置到反向偏置, b-cPN结反向偏置。 2.放大状态:集电极电流随基极电流变化而变化,Ic=βIb,b-ePN结正向偏置,b-cPN结反向偏置。 3.饱和状态:集电极电流达到最大值,基极电流再增加集电极流也不会增加,这时的一个特征是b-ePN结、b-cPN结都正向偏置 2、TTL门的输入端悬空,逻辑上相当于接高电平。 3、TTL电路的电源电压为5V, CMOS电路的电源电压为3V-18V 。 4、在TTL门电路的一个输入端与地之间接一个10KΩ电阻,则相当于在该输入端输入低电平;在CMOS门电路的 输入端与电源之间接一个1KΩ电阻,相当于在该输入端输入高电平。 5、二进制数(11010010)2转换成十六进制数是D2。 6、逻辑电路按其输出信号对输入信号响应的不同,可以分为组合逻辑电路和时序逻辑电路两大类。 7、组成一个模为60的计数器,至少需要6个触发器。 一个触发器相当于一位存储单元,可以用六个触发器搭建异步二进制计数器,这样最多能计63个脉冲 8、在数字电路中,三极管工作在截止和饱和状态。 9、一个门电路的输出端能带同类门的个数称为扇出系数。 10、使用与非门时多余的输入脚应该接高电平,使用或非门时多余的输入脚应该接低电平。 与非门:若当输入均为高电平(1),则输出为低电平(0);若输入中至少有一个为低电平(0),则输出为高电平(1)。所以多余的输入脚接高电平或非门:若当输入均为低电平(1),则输出为高电平(0);若输入中至少有一个为高电平(0),则输出为低电平(1)。所以多余的输入脚接低电平 11、贴片电阻上的103代表10kΩ。 12、USB支持控制传输、同步传输、中断传输和批量传输等四种传输模式。 13、一个色环电阻,如果第一色环是红色,第二色环是红色,第三色环是黄色,第四色环是金色,则该电阻 的阻值是220kΩ±10%。 14、MOV A,40H 指令对于源超作数的寻址方式是直接寻址。 指令中直接给出操作数地址(dir)的寻址方式称为直接寻址。以寄存器中的内容为地址,该地址的内容为操作数的寻址方式称为寄存器间接寻址 15、8051系列单片机的ALE信号的作用是地址锁存控制信号。 Address lock enable :地址锁存允许端 15、MCS-8051系列单片机字长是______位。 16、一个10位地址码、8位输出的ROM,其存储容量为。 17、队列和栈的区别是_________。 18、do……while和while……do的区别是_______。 19、在计算机中,一个字节所包含二进制位的个数是______。

硬件工程师招聘需求

产品二部硬件需求表 岗位一:高级硬件研发工程师 需求人数:2人 岗位要求: 1,电子信息或通讯相关专业,大学本科以上学历; 2,五年以上电子产品硬件开发设计工作经历,或,四年以上intel 产品硬件设计工作经验; 3,有从立项到生产导入的全程经历,导入生产,生产指引文件的编写,指导批量生产 4,具备扎实的数字,模拟电子及电路分析专业基础知识及技能; 5,能熟练使用ORCAD、Cadence等软件,有较强的硬件分析调试能力; 6,根据产品规格要求,能独立完成元器件选型,原理图设计及优化,PCB layout 独立设计或跟进指导; 7,能独立完成电路板调试,软硬件联调,测试及改进优化工作; 8,元器件的选型,包括性能与成本等综合方面进行器件评估,与供应商保持方案级别的紧密沟通; 9,熟悉现有电源IC 厂商的芯片性能,有独立硬件调试,焊接的能力强; 10,能独立并精通原理设计,LAYOUT,熟悉差分线,等长线,DDR 总线等常用线的走线规则 11,熟悉生产制造工艺流程,熟悉SMT; 12,具有良好的中英文沟通和读写能力; 13,人品端正,工作细心,具有较强的团队合作意识。 岗位职责: 1、负责产品开发过程中的相关硬件设计开发,调试及优化工作; 2、负责元器件承认工作及编写相关技术文档; 3、编写或协调完成产品生产测试及维修指导技术文档,BOM文档等; 4、负责研发样机的制作及调试,客户样机测试优化,生产及售

后技术服务; 5、负责部门部分培训工作; 6、定期向部门负责人汇报沟通工作进展及问题。 岗位二:硬件助理工程师 需求人数:2人 岗位要求: 1、本科或硕士毕业生,电子、通信或计算机类相关专业毕业; 2、专业基础扎实,具备基础的数字电路原理,能够独立阅读原理图; 3、有做过单片机课题研究,熟悉电子产品的开发及相关业务领域的知识; 4、自学能力强,具有扩散性思维,平时注重独立解决问题,能静心刻苦钻研技术; 5、吃苦耐劳,服从工作安排,具备良好的团队合作精神。 岗位职责: 1、在上级领导的指导下定期完成量化的工作要求,并能独立处理和解决所负责的任务; 2、根据项目进度和任务分配,完成符合功能要求和质量标准的硬件开发产品; 3、依据产品设计说明,设计符合功能要求的逻辑设计、原理图; 4、编写调试程序,测试开发的硬件设备; 5、编制项目文档及质量记录 岗位三:硬件工程师 需求人数:3人 岗位要求: 1,电子信息或通讯相关专业,大学本科以上学历; 2,三年以上电子产品硬件开发设计工作经历,或,两年以上intel

电子工程师考试大纲

综合知识考试概要考试方式闭卷:独立完成,不能带书、资料、笔记等网上考试:试题随机分布,其顺序各不相同时间:150 分钟 题型: 是非16题,24分选择13 题,26分 填空8题,16分简答3题,15 分综合2题,19分 考试的主要内容 基本元器件的知识--R.L.C. 、二极管、三极管等电子电路的基本知识--RC 电路、模电、数电等计算机的基本知识--组成、参数、接口、语言等基本测量仪器及使用--示波器、电压表、信号源、稳压电源等综合应用--设计题、分析题大学生工程师论证的条件、程序、等级等规定考试内容分述 基本元器件 R.L.C --标识、应用、V 与I 的相位关系变压器--种类、特点、电压、电流、阻抗之比二极管--种类、特性、参数、应用等三极管--种类、特性、参数、应用等基本元器件的知识--R.L.C. 、二极管、三极管等电子电路的基本知识--RC 电路、模电、数电等计算机的基本知识--组成、参数、接口、语言等基本测量仪器及使用--示波器、电压表、信号源、稳压电源等综合应用--设计题、分析题大学生工程师论证的条件、程序、等级等规定 R.L.C --标识、应用、V 与I 的相位关系变压器--种类、特点、电压、电流、阻抗之比二极管--种类、特性、参数、应用等三极管--种类、特性、参数、应用等基尔霍夫第一、第二定律戴维定理、叠加定理四种滤波器--低通、高通、带通、带阻基本电路--做耦合、滤波、微分、积分 RLC 串联、并联电路 模拟电子电路--基本放大电路

共e、共c电路为主,共b电路次之一一电路及电路特点,元件作用,应用、计算等模拟电子电路--负反馈放大器 四种负反馈放大电路 电压串联负反馈放大器――稳定Vo,提高Ri 电压并联负反馈放大器――稳定Vo,降低Ri 电流串联负反馈放大器稳定Io,提高Ri

硬件工程师笔试题附答案

一、填空题(每题5分,8题,共40分) 1.二极管的导通电压一般是0.7V 。 2.MOS管根据掺杂类型可以分为NMOS 、PMOS 。 3.晶体三极管在工作时,发射结和集电结均处于正向偏置,该晶体管工作在饱和状态。 4.二进制数(11010010)2转换成十六进制数是D2 。 5.贴片电阻上的103代表10k。 6.输出使用OC门或OD门实现线与功能。 7.假设A传输线的特征阻抗是70欧姆,B传输线的特征阻抗是30欧姆,A传输线与B传输线相 连,那么它们之间的反射系数是0.4。(-0.4也可以是正确答案) 8.假设模拟信号的输入带宽是10Hz~1MHz,对信号进行无失真采样的最低频率是 2MHz 。 二、问答题(每题10分,6题,共60分) 1.单片机上电后没有运转,首先要检查什么?(10分) 答案:第一步,测量电源电压是否正常;第二步,测量复位引脚是否正常;第三步,测量外部晶振是否起振。2.请分别画出BUCK和BOOST电路的原理框图。(10分) BUCK电路: BOOST电路: 3.请画出SAR型(逐次逼近型)ADC的原理框图,或者描述SAR型ADC的工作原理。(10 分)

SAR型ADC包括采样保持电路(S/H)、比较器(COMP ARE)、数/模转换器(DAC)、逐次逼近寄存器(SAR REGISTER) 和逻辑控制单元(SAR L OGIC)。模拟输入电压VIN由采样保持电路采样并保持,为实现二进制搜索算法,首先由SAR L OGIC 控制N位寄存器设置在中间刻度,即令最高有效位MSB为“1”电平而其余位均为“0”电平,此时数字模拟转换器DAC输出电压VDAC为0.5VREF,其中VREF为提供给ADC的基准电压。由比较器对VIN和VDAC进行比较,若VIN>VDAC ,则比较器输出“1”电平,N位寄存器的MSB保持“1”电平;反之,若VN

电子工程师笔试题解析

汉王笔试 下面是一些基本的数字电路知识问题,请简要回答之。 a) 什么是Setup 和Holdup时间? b) 什么是竞争与冒险现象?怎样判断?如何消除? c) 请画出用D触发器实现2倍分频的逻辑电路? d) 什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求? e) 什么是同步逻辑和异步逻辑? f) 请画出微机接口电路中,典型的输入设备与微机接口逻辑示意图(数据接口、控制接口、所存器/缓冲器)。 g) 你知道那些常用逻辑电平?TTL与COMS电平可以直接互连吗? 2、可编程逻辑器件在现代电子设计中越来越重要,请问: a) 你所知道的可编程逻辑器件有哪些? b) 试用VHDL或VERILOG、ABLE描述8位D触发器逻辑。 3、设想你将设计完成一个电子电路方案。请简述用EDA软件(如PROTEL)进行设计(包 括原理图和PCB图)到调试出样机的整个过程。在各环节应注意哪些问题? 飞利浦-大唐笔试归来 1,用逻辑们和cmos电路实现ab+cd 2. 用一个二选一mux和一个inv实现异或 3. 给了reg的setup,hold时间,求中间组合逻辑的delay范围。 Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数据才能被打入触发器。保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间。时hold time不够,数据同样不能被打入触发器。 4. 如何解决亚稳态 5. 用verilog/vhdl写一个fifo控制器

超声波工程师招聘要求

研发工程部工艺工程师 工作职责: 1.负责新产品的导入(生产工艺验证和研究,生产工艺与治夹检具规划,SOP工艺资料制作,主导和跟催新产品试产的物料和各项进度,试产问题分析和检讨,量产资料发行等); 2.主导新产品超声波事宜(前期设计沟通和修改协助,模具调试、验收,模具保养); 3.新工艺、新设备的导入、技术资料制作和教育训练。 职位要求: 1.电子,机电工程、自动化等理工专业; 2.2年以上超声波工作经验,熟悉超声波结构和工作原理,擅长超声波调试,超声波异常分析并提出改善对策; 3.2年以上PE、IE、ME等工程/生产技术工作经验,熟悉电子产品组装和测试; 4.熟悉OFFICE,AUTOCAD,PRO-E等软件的使用较佳。 超声波工程师招聘生产设备管理,制程工程师/制造工程师(ME),表面焊接/DIP/SMT 岗位职责: 1、负责超声波拉别人员管理; 2、负责超声波模具设计与验证; 3、负责超声波设备保养、维护、维修; 4、处理超声过程中的异常。 任职要求: 1、大专及以上学历; 2、三年以上专职超声波调试经验; 3、熟悉超声波结构及工作原理; 4、能根据产品结构,设计超声波模具; 5、善于分析超声波异常原因并提出改善对策。 主要职责: 1.对超声波进行周期性和及时的维护和维修,确保其能满足生产能力的要求和设备效率最大化. 2.对生产的一些辅助进行周期性和及时的维护和维修,确保其能满足生产能力的要求和设备效率最大化. 3.对新产品超声波参数的实验与制定,以及文件的编辑与更新. 4.超声波模具的验收. 招聘要求: 1.大专以上学历,英文基础良好; 2.5年以上超声波调试与维护经验. 3.有一定的电子,机械及塑胶成型知识. 工作职责: 1)持续改善生产品质与效率; 2)生产线问题解决; 3)优化生产流程,开发新工艺; 4)设计工装和夹具; 5)设计新产品的组装工艺。 要求: 1)本科学历,机电工程或电子工程优先; 2)5年以上制程/工艺工程经验,熟悉超声波焊接工艺,对超声波不良有较强的分析解决能力; 3)出色的现场分析和沟通能力; 4)有一定英语读写能力。

常见硬件工程师笔试题(标准答案)

硬件工程师笔试题 一、电路分析: 1、竞争与冒险 在组合逻辑中,在输入端的不同通道数字信号中经过了不同的延时,导致到达该门的时间不一致叫竞争。因此在输出端可能产生短时脉冲(尖峰脉冲)的现象叫冒险。 常用的消除竞争冒险的方法有:输入端加滤波电容、选通脉冲、修改逻辑设计等。 2、同步与异步 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。 异步电路:电路没有统一的时钟,有些触发器的时钟输入端与时钟脉冲源相连,只有这些触发器的状态变化与时钟脉冲同步,而其它的触发器的状态变化不与时钟脉冲同步。 异步电路不使用时钟脉冲做同步,其子系统是使用特殊的“开始”和“完成”信号使之同步 同步就是双方有一个共同的时钟,当发送时,接收方同时准备接收。异步双方不需要共同的时钟,也就是接收方不知道发送方什么时候发送,所以在发送的信息中就要有提示接收方开 始接收的信息,如开始位,结束时有停止位 3、仿真软件:Proteus 4、Setup 和Hold time Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器 的时钟信号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升 沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数据才能被打入触发器。保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间。如果hold time不够,数据同样不能被打入触发器。 5、IC设计中同步复位与异步复位的区别 同步复位在时钟沿采集复位信号,完成复位动作。异步复位不管时钟,只要复位信号满足条件,就完成复位动作。异步复位对复位信号要求比较高,不能有毛刺,如果其与时钟关系 不确定,也可能出现亚稳态。 6、常用的电平标准 TTL:transistor-transistor logic gate晶体管-晶体管逻辑门 CMOS:Complementary Metal Oxide Semiconductor互补金属氧化物半导体 LVTTL(L ow Voltage TTL)、LVCMOS(L ow Voltage CMOS):3.3V、2.5V RS232、RS485 7、TTL电平与CMOS电平 TTL电平和CMOS电平标准

2020年电子工程师招聘笔试题及详细解析分析

电子工程师招聘笔试题及详细解析(不看后悔)分析

一、基础题(每空1分,共40分) 1、晶体三极管在工作时,发射结和集电结均处于正向偏 置,该晶体管工作在饱和_状态。 1.截止状态:基极电流Ib=0,集电极电流Ic=0,b-ePN结临界正向偏置到反向偏置, b-cPN结反向偏置。 2.放大状态:集电极电流随基极电流变化而变化,Ic=βIb,b-ePN结正向偏置,b-cPN结反向偏置。 3.饱和状态:集电极电流达到最大值,基极电流再增加集电极流也不会增加,这时的一个特征是b-ePN结、b-cPN结都正向偏置 2、TTL门的输入端悬空,逻辑上相当于接高电平。 3、TTL电路的电源电压为5V, CMOS电路的电源电压为 3V-18V 。 4、在TTL门电路的一个输入端与地之间接一个10K电 阻,则相当于在该输入端输入低电平;在CMOS门电路的输入端与电源之间接一个1K电阻,相当于在该输入端输入高电平。 5、二进制数(11010010)2转换成十六进制数是D2。 6、逻辑电路按其输出信号对输入信号响应的不同,可以 分为组合逻辑电路和时序逻辑电路两大类。 7、组成一个模为60的计数器,至少需要6个触发器。 一个触发器相当于一位存储单元,可以用六个触发器搭建异步二进制计数器,这样最多能计63个脉冲 8、在数字电路中,三极管工作在截止和饱和状态。 9、一个门电路的输出端能带同类门的个数称为扇出系 数。 10、使用与非门时多余的输入脚应该接高电平,使用或非 门时多余的输入脚应该接低电平。 与非门:若当输入均为高电平(1),则输出为低电平(0);若输入中至少有一个为低电平(0),则输出为高电平(1)。所以多余的输入脚接高电平或非门:若当输入均为低电平(1),则输出为高电平(0);若输入中至少有一个为高电平(0),则输出为低电平(1)。所以多余的输入脚接低电平

电子工程师笔试题

单片机开发工程师(只允许30分钟完成) 1.请列举出你所知道的单片机品牌?你使用过其中多少种? 2.用你熟悉的单片机写一段10MS软件件延时程序? 3.已知一个数组int a[N-1]里面存放的N个数是0,1,2....N这个自然数序列N+1个数里面的N个,请用最简单的算法找出缺少的是哪个数?(只要求写思路,不用写具体代码) 4.请绘图说明如何使用单片机的I/O口实现9个按键信号的输入,请简述工作原理和实现所需要注意的问题。(无需写代码) 5.请简要绘图说明NPN型三极管的IB,IC,VCE的关系,并指出截止区和饱和区。同时说明NPN 型三极管饱和导通的条件? 6.如何使用数字电路实现4兆到1兆的分频? 7.你在布印制板的线路时最常用的走线宽度是多少?线宽和电流关系如何,例如需要1A电流需要多宽的走线? 8.请说明以下电路中,当L+端分别为0V,+5V,+24V时,A端和B端的输出电平。(假设三极管的直流放大倍数为200倍) 9.请简短地介绍你在以前的开发工作中所解决的一个技术问题。(要求包含以下要素:应用环境,功能需求,问题现象,解决思路,解决方法) 硬件工程师面试试题 模拟电路 1、基尔霍夫定理的内容是什么? 基尔霍夫电流定律是一个电荷守恒定律,即在一个电路中流入一个节点的电荷与流出同一个节点的电荷相等. 基尔霍夫电压定律是一个能量守恒定律,即在一个回路中回路电压之和为零. 2、平板电容公式(C=εS/4πkd)。 3、最基本的如三极管曲线特性。 4、描述反馈电路的概念,列举他们的应用。 反馈,就是在电子系统中,把输出回路中的电量输入到输入回路中去。 反馈的类型有:电压串联负反馈、电流串联负反馈、电压并联负反馈、电流并联负反馈。 负反馈的优点:降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用。 电压负反馈的特点:电路的输出电压趋向于维持恒定。 电流负反馈的特点:电路的输出电流趋向于维持恒定。 5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈); 负反馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频模拟电路) 6、放大电路的频率补偿的目的是什么,有哪些方法? 设计得当的放大电路中的频率补偿用于相位失真,可以用杨氏电阻,或自己设计的反馈电路进行补偿设计不得体的电路频率补偿用于频率校正。 7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。 输入端频率除以输出端的频率结果等于1,这个电路就是稳定的。 可以使用滤波器改变频响曲线。滤波器种类很多很杂。 8、给出一个差分运放,如何相位补偿,并画补偿后的波型图。 没有给图,但不难看出就是一个反馈电路的添加。只要找到反馈点,和适当的反馈值就可以了 9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺点,特别是广泛采用差分结构的原因。 10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量。 无图,但是把共模分量和差模分量的意思弄明白,这个题目就解决了。简单点说,就是叠加瞬间电压和相减的瞬间电压值。

相关主题
文本预览
相关文档 最新文档