当前位置:文档之家› 2021年巴克码的详解之令狐采学创编

2021年巴克码的详解之令狐采学创编

2021年巴克码的详解之令狐采学创编
2021年巴克码的详解之令狐采学创编

2.巴克码识别器

欧阳光明(2021.03.07)

是比较容易实现的,这里以七位巴克码为例,用7级移位寄存器、相加器和判决器就可以组成一识别器,具体结构如图716所示。7级移位寄存器的1、0端输出按照1110010的顺序连接到相加器输入,接法与巴克码的规律一致。当输入数据的“1”存入移位寄存器时,“1”端的输出电平为+1,而“0”端的输出电平为1;反之,存入数据“0”时,“0”端的输出电平为+1,“1”端的电平为1。

当发送端送来的码元自右向左进入时,首先考虑一个简单的情况:假设只计算巴克码(1110010)进入的几个移位寄存器的输出,此时将有巴克码进入一位,二位……七位全部进入,第一位移出尚留六位……前六位移出只留一位等13种情况。经过计算可得相加器的输出就是自相关函数,设码元进入移位寄存器数目为a,码元尚留在移位寄存器的数目是b,这是就可以得到a、b和j之间的关系式

图7167位巴克码识别器

(738)根据上述关系可以得到表72,它反映了相加器输出与a、b之间的关系。

a a=

b b

巴克码进入(或留下)位数1 2 3 4 5 6 7 6 5 4 3 2 1

相加器输出 1 0 1 0 1 0 7 0 1 0 1 0 1

实际上述群同步码的前后都是有信息码的,具体情况如图717(a)所示,在这种情况下巴克码识别器的输出波形如图717(b)所示。

图717 识别器输入和输出波形

当七位巴克码在图717中的t1时刻,正好已全部进入了7级移位寄存器,这时7个移位寄存器输出端都输出+1,相加后得最大输出+7,如图717(b)所示,而判决器输出的两个脉冲之间的数据,称为一群数据或称为一帧数据。

当然,对于信息而言,由于其具有的随机特性,可以考察一种最不利的情况:即当巴克码只有部分码在移位寄存器时,信息码占有的其它移位寄存器的输出全部是+1,在这样一种对于群同步最不利的情况下,相加器的输出将如表73所示。由此可得到相加器的输出波形如图718所示。图中横坐标用a表示,由a、b和j之间的关系可知,。

A a=b b

巴克码进入(或留下)位数1 2 3 4 5 6 7 6 5 4 3 2 1

相加器输出 5 5 3 3 1 1 7 1 1 3 3 5 5

由图718可以看出,如果判决电平选择为6,就可以根据a=7时相加器输出的7,大于判决电平6而判定巴克码全部进入移位寄存器的位置。此时识别器输出一个群同步脉冲,表示群的开头。一般情况下,信息码不会正好都使移位寄存器的输出均为+1,因此实际上更容易判定巴克码全部进入移位寄存器的位置。后面还要讲到如果巴克码中有误码时,只要错一个码,当a=7时相加器输出将由7变为5,低于判决器的判决电平。因此,为了提高群同步的抗干扰性能,防止漏同步,判决电平可以改为4。但改为4以后容易发生假同步,这些问题在性能分析时要进一步讨论。

巴克码识别器的设计与仿真 (2)

***************** 实践教学 ***************** 兰州理工大学 计算机与通信学院 2013年秋季学期 通信系统综合训练 题目:巴克码识别器的设计与仿真 专业班级: 姓名: 学号: 指导教师:彭铎 成绩:

摘要 巴克码是一种具有特殊规律的二进制码组,它是一种非周期序列。是目前已找到的最常用的群同步码字之一。它具有尖锐的自相关特性,便于与随机的数字信息相区别,易于识别,出现伪同步的可能性小。 本次课程设计是在掌握群同步码巴克码的基础上,利用MATLAB完成对巴克码识别器的设计。 关键字:巴克码识别器,MATLAB

目录 前言 (1) 1.基本原理 (2) 1.1目的及意义 (2) 1.2群同步 (2) 1.3巴克码 (3) 1.4系统设计框图 (3) 1.5MATLAB仿真软件 (4) 2.系统分析 (6) 2.1巴克码的发生 (6) 2.2巴克码的判决 (7) 2.3巴克码的同步 (8) 2.4群同步码 (9) 2.5移位寄存器 (10) 2.6同步字符的识别性能 (11) 3.系统设计与调试 (13) 3.1设计思路 (13) 3.2调试结果: (14) 设计总结 (16) 参考文献 (17) 致谢 (18) 附录 (19)

前言 同步是通信系统中一个重要的实际问题。通信系统中的同步可分为载波同步、位同步、帧同步等几大类。在通信系统中,同步具有相当重要的地位。通信系统能否有效地、可靠地工作,很大程度上依赖于有无良好的同步系统。因此,如何使系统同步,如何保持同步,成为现代数字通信系统设计者所面临的重要课题。 在数字通信时,一般总是以一定数目的码元组成一个个的“字”或“句”,即组成一个个的“群”进行传输的。因此,在接收这些数字流时,同样也必须知道这些“字”、“句”的起止时刻,在接收端产生与“字”、“句”起止时刻相一致的定时脉冲序列,统称为群同步或帧同步。群同步信号的频率很容易由位同步信号经分频而得出。但是,每个群的开头和末尾时刻却无法由分频器的输出决定。群同步的任务就是在位同步信息的基础上,识别出数字信息群(“字”或“句”)的起止时刻,或者说给出每个群的“开头”和“末尾”时刻。 为了实现群同步,可以在数字信息流中插入一些特殊码字作为每个群的头尾标记,这些特殊的码字应该在信息码元序列中不会出现,或者是偶然可能出现,但不会重复出现,此时只要将这个特殊码字连发几次,收端就能识别出来,接收端根据这些特殊码字就可以实现群同步。在数字通信系统中,实现帧同步的方法通常有两种:插入特殊码字实现群同步的方法有两种,即连贯式插入法和间隔式插入法。 作群同步码字用的特殊码字首先应该具有尖锐单峰特性的局部自相关特性,其次这个特殊码字在信息码元序列中不易出现以便识别,最后群同步识别器需要尽量简单。巴克码是目前已经找到的最常用的群同步码字之一。

四选一、四位比较器、加法器、计数器、巴克码发生器、七人表决器

EDA实验报告 姓名: 学号: 班级:

实验14选1数据选择器的设计 一、实验目的 1.学习EDA软件的基本操作。 2.学习使用原理图进行设计输入。 3.初步掌握器件设计输入、编译、仿真和编程的过程。 4.学习实验开发系统的使用方法。 二、实验仪器与器材 1.EDA开发软件一套 2.微机一台 3.实验开发系统一台 4.打印机一台 三、实验说明 本实验通过使用基本门电路完成4选1数据选择器的设计,初步掌握EDA设计方法中的设计输入、编译、综合、仿真和编程的过程。实验结果可通过实验开发系统验证,在实验开发系统上选择高、低电平开关作为输入,选择发光二极管显示输出电平值。 本实验使用Quartus II 软件作为设计工具,要求熟悉Quartus II 软件的使用环境和基本操作,如设计输入、编译和适配的过程等。 实验中的设计文件要求用原理图方法输入,实验时,注意原理图编辑器的使用方法。例如,元件、连线、网络名的放置方法和放大、缩小、存盘、退出等命令的使用。学会管脚锁定以及编程下载的方法等。 四、实验要求 1.完成4选1数据选择器的原理图输入并进行编译; 2.对设计的电路进行仿真验证; 3.编程下载并在实验开发系统上验证设计结果。 五、实验结果 4选1数据选择器的原理图: 仿真波形图:

管脚分配:

实验2 四位比较器 一、实验目的 1.设计四位二进制码比较器,并在实验开发系统上验证。 2.学习层次化设计方法。 二、实验仪器与器材 1.EDA 开发软件 一套 2.微机 一台 3.实验开发系统 一台 4.打印机 一台 5.其它器件与材料 若干 三、实验说明 本实验实现两个4位二进制码的比较器,输入为两个4位二进制码0123A A A A 和 0123B B B B ,输出为M (A=B ),G (A>B )和L (A

通信原理实验指导期末考试讲解

实验一CPLD可编程数字信号发生器实验 一、实验目的 1、熟悉各种时钟信号的特点及波形。 2、熟悉各种数字信号的特点及波形。 二、实验内容 1、熟悉CPLD可编程信号发生器各测量点波形。 2、测量并分析各测量点波形及数据。 3、学习CPLD可编程器件的编程操作。 三、实验器材 1、信号源模块一块 2、连接线若干 3、20M双踪示波器一台 四、实验原理 CPLD可编程模块用来产生实验系统所需要的各种时钟信号和各种数字信号。它由CPLD 可编程器件ALTERA公司的EPM240T100C5、下载接口电路和一块晶振组成。晶振JZ1用来产生系统内的32.768MHz主时钟。 1、CPLD数字信号发生器 包含以下五部分: 1)时钟信号产生电路 将晶振产生的32.768MH Z时钟送入CPLD内计数器进行分频,生成实验所需的时钟信号。通过拨码开关S4和S5来改变时钟频率。有两组时钟输出,输出点为“CLK1”和“CLK2”,S4控制“CLK1”输出时钟的频率,S5控制“CLK2”输出时钟的频率。 2)伪随机序列产生电路 通常产生伪随机序列的电路为一反馈移存器。它又可分为线性反馈移存器和非线性反馈移存器两类。由线性反馈移存器产生出的周期最长的二进制数字序列称为最大长度线性反馈移存器序列,通常简称为m序列。

以15位m 序列为例,说明m 序列产生原理。 在图1-1中示出一个4级反馈移存器。若其初始状态为(0123,,,a a a a )=(1,1,1,1),则在移位一次时1a 和0a 模2相加产生新的输入4110a =⊕=,新的状态变为(1234,,,a a a a )=(0,1,1,1),这样移位15次后又回到初始状态(1,1,1,1)。不难看出,若初始状态为全“0”,即“0,0,0,0”,则移位后得到的仍然为全“0”状态。这就意味着在这种反馈寄存器中应避免出现全“0”状态,不然移位寄存器的状态将不会改变。因为4级移存器共有24 =16种可能的不同状态。除全“0”状态外,剩下15种状态可用,即由任何4级反馈移存器产生的序列的周期最长为15。 a 3 a 2 a 1 a 0 + 输出 图1-1 15位m 序列产生 信号源产生一个15位的m 序列,由“PN ”端口输出,可根据需要生成不同频率的伪随机码,码型为111100010011010,频率由S4控制,对应关系如表1-2所示。 3) 帧同步信号产生电路 信号源产生8K 帧同步信号,用作脉冲编码调制的帧同步输入,由“FS ”输出。 4) NRZ 码复用电路以及码选信号产生电路 码选信号产生电路:主要用于8选1电路的码选信号;NRZ 码复用电路:将三路八位串行信号送入CPLD ,进行固定速率时分复用,复用输出一路24位NRZ 码,输出端口为“NRZ ”,码速率由拨码开关S5控制,对应关系见表1-2。 5) 终端接收解复用电路 将NRZ 码(从“NRZIN ”输入)、位同步时钟(从“BS ”输入)和帧同步信号(从“FSIN ”输入)送入CPLD ,进行解复用,将串行码转换为并行码,输出到终端光条(U6和U4)显示。 2、 24位NRZ 码产生电路 本单元产生NRZ 信号,信号速率根据输入时钟不同自行选择,帧结构如图1-2所示。帧长为24位,其中首位无定义(本实验系统将首位固定为0),第2位到第8位是帧同步码(7位巴克码1110010),另外16位为2路数据信号,每路8位。此NRZ 信号为集中插入帧同步

简易信号发生器设计制作

简易信号发生器设计制作 一、训练目的 (1)掌握正弦波、三角波、矩形波和方波发生电路的工作原理; (2)学会正弦波、三角波、矩形波和方波发生电路的设计方法; (3)进一步熟悉电子线路的安装、调试、测试方法。 二、工作原理 正弦波、三角板、矩形波是电子电路中常用的测试信号,如测试放大器的增益、通频带等均要用到正弦信号作为测试信号。下面分别介绍产生这三种信号电路结构和工作原理。 1.正弦信号发生器 正弦信号的产生电路形式比较多,频率较低时常用文氏电桥振荡器,图7-1为实用文氏电桥振荡电路。图中R 1、R 2、R 3、RW 2构成负反馈支路,二极管D 1、D 2构成稳幅电路,C 2、R 11(或R 12或R 13)、C 1、R 21(或R 22或R 23)串并联电路构成正反馈支路,并兼作选频网络。调节电位器RW 2可以改变负反馈的深度,以满足振荡的振幅条件和改善波形。二极管D 1、D 2要求温度稳定性好,特性匹配以确保输出信号正负半周对称,R 4接入用以消除二极管的非线性影响,改善波形失真。如K1接电阻R 11、K2接R 21,并且R 11= R 21=R ,C 1= C 2=C ,则电路的振荡频率为: 1 2f RC π= (7-1) 起振的幅值条件: 1 1f v R A R =+ (7-2) 图7-1 正弦信号发生器 通过调整RW 2可以改变电路放大倍数,能使电路起振并且失真最小。该电路可通过开关K1、K2选择不同的电阻以得到不同频率的信号输出。 2.方波和矩形波发生器

方波发生电路如图7-2,其基本原理是在滞回比较器的基础上增加了由R 4和C 1构成的积分电路,输出电压通过该积分电路送人到比较器的反相输入端。其中R 3 、D Z1和D Z2构成双向限幅电路,这样就构成了方波发生器电路,其工作原理如下: 假设在接通电源瞬间,输出电压o v 为Z V +(稳压二极管D Z1、D Z2额定工作时的稳压值),这时比较器同相端的输入电压为 2 12 Z R v V R R +≈ + (7-3) 同时输出电压o v 会通过电阻R 4给C 1充电,反相端的输入电压v -就会逐步升高,当反向输入端的电压v -略大于同相端输入电压v +时,比较器输出电压立即从Z V +翻转为Z V -,这时输出端电压o v 为Z V -,比较器同相端输入电压v +'为 2 12 Z R v V R R +'≈- + (7-4) 这时输出的电压o v 会通过R 4对C 1进行反向充电,当反相输入端的电压略低于v +'时,输出状态再翻转回来,如此反复形成方波信号。所产生方波信号的频率为 41 1 2f R C = 方波 (7-5) R 4 o 图7-2 方波发生电路

雷达波形模糊图专业训练课设报告

专业综合 课程设计报告 课设题目:雷达波形模糊图 学院:信息与电气工程学院 专业:电子信息工程 班级: 姓名: 学号: 指导教师: 哈尔滨工业大学(威海) 2013年11月28日 一、设计任务 模糊函数是对雷达信号进行分析研究和波形设计的有效工具, 是雷达信号理论中极为重要的一个概念。模糊函数最初是在研究雷达分辨力问题时提出的, 并从衡量两个不同距离和不同径向速度目标的分辨度出发提出了模糊函数的定义。但模糊函数不仅可以说明分辨力, 还可以说明测量精度、测量模糊度以及抗

干扰状况等问题。雷达信号的模糊函数与雷达信息的提取紧密相关, 它不仅涉及了雷达的精度, 还涉及了雷达的抗干扰、自适应以及雷达信号的处理方式。本次课程设计目标是:画出某线性调频和相位编码信号的模糊图;根据模糊图分析多普勒频移对匹配滤波的影响;产生雷达回波数据并匹配滤波,根据仿真结果分析各参数对匹配滤波结果的影响。 二、 方案设计 设计某线性调频和相位编码信号 线性调频波形的定义为 ()?? ? ??=2cos t t x τβπ τ≤≤t 0 (1) 使用复数表达式,有 ()()t j t j e e t x θτ πβ==/2 τ≤≤t 0 (2) 该波形的瞬时频率是相位函数的微分 ()()t dt t d t F i τ βθπ==21 (3) 假设0>β,在s τ的脉宽内()t F i 线性地扫过了整个Hz β带宽。当βτ=50时,()t F 就是一个线性调频波。 画出其模糊图并分析模糊图的特征 模糊函数是波形设计与分析的工具,它可以方便地刻画波形与对应匹配滤波器的特征。模糊函数在分析分辨率、副瓣性能,以及多普勒和距离模糊方面非常有用,另外也可以用于对距离-多普勒耦合的分析。 考虑当输入为多普勒频移响应想()()t F j t x D π2ex p 时波形()t x 的匹配滤波器输出。同时,假设滤波器具有单位增益(1=α),并且设计为在0=M T 时达到峰值。这仅仅意味着滤波器输出端的时间轴与目标距离期望的峰值输出时间相关。滤波器的输出为 ()()),(?)()2ex p(;*D D D F t A ds t s x s F j s x F t y ≡-=?∞ ∞ -π (4) 将其定义为复模糊函数,即),(?D F t A 的幅度函数,即 ),(?),(D D F t A F t A ≡ (5) 它是二变量函数:一个是相对于期望匹配滤波峰值输出的时延,另一个是为滤波 器设计的多普勒频移与实际接收的回波的多普勒频移之间的失配。 雷达信号的时间频率二维模糊函数定义为: dt e T t x t x F T y t F i d d d d d π2*)()(),(?∞ ∞ -+= (6) 上式不是模糊函数的唯一形式,为了分析方便,模糊函数还可以写成卷积形式,

巴克码

1 巴克码简介 1.1巴克码简介 巴克码主要用于通信系统中的帧同步,其特点是具有尖锐的自相关函数,便于与随机的数字信息 相区别,易于识别,出现伪同步的可能性小。巴克码是一种具有特殊规律的二进制码组,它是一种非周期序列。一个n 位的巴克码组为{ , :, ,. ,},其中 的取值是+1或一1,其局部自相关函数为: ()i i+j 10x x 0,1,100n j i n j R j j n j n ===??==+-<

图1.1 巴克码发生器设计原理图 MATLAB软件概述 MATLAB是矩阵实验室(Matrix Laboratory)的简称,是美国MathWorks公司出品的商业数学软件,用于算法开发、数据可视化、数据分析以及数值计算的高级技术计算语言和交互式环境,主要包括MATLAB和Simulink两大部分。 MATLAB是由美国mathworks公司发布的主要面对科学计算、可视化以及交互式程序设计的高科技计算环境。它将数值分析、矩阵计算、科学数据可视化以及非线性动态系统的建模和仿真等诸多强大功能集成在一个易于使用的视窗环境中,为科学研究、工程设计以及必须进行有效数值计算的众多科学领域提供了一种全面的解决方案,并在很大程度上摆脱了传统非交互式程序设计语言(如C、Fortran)的编辑模式,代表了当今国际科学计算软件的先进水平。 MATLAB和Mathematica、Maple并称为三大数学软件。它在数学类科技应用软件中在数值计算方面首屈一指。MATLAB可以进行矩阵运算、绘制函数和数据、实现算法、创建用户界面、接其他编程语言的程序等,主要应用于工程计算、控制设计、信号处理与通讯、图像处理、信号检测、金融建模设计与分析等领域。 MATLAB的基本数据单位是矩阵,它的指令表达式与数学、工程中常用的形式十分相似,故用MATLAB来解算问题要比用C,FORTRAN等语言完成相同的事情简捷得多,并且MATLAB也吸收了像Maple等软件的优点,使MATLAB成为一个强大的数学软件。在新的版本中也加入了对C,FORTRAN,C++ ,JAVA的支持。可以直接调用,用户也可以将自己编写的实用程序导入到MATLAB函数库中方便自己以后调用,此外许多的MATLAB爱好者都编写了一些经典的程序,用户可以直接进行下载就可以用。

简易波形发生器设计报告

电子信息工程学院 硬件课程设计实验室课程设计报告题目:波形发生器设计 年级:13级 专业:电子信息工程学院学号:201321111126 学生姓名:覃凤素 指导教师:罗伟华 2015年11月1日

波形发生器设计 波形发生器亦称函数发生器,作为实验信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。 波形发生器一般是指能自动产生方波、三角波、正弦波等电压波形的电路。产生方波、三角波、正弦波的方案有多种,如先产生正弦波,再通过运算电路将正弦波转化为方波,经过积分电路将其转化为三角波,或者是先产生方波-三角波,再将三角波变为正弦波。本课程所设计电路采用第二种方法,利用集成运放构成的比较器和电容的充放电,实现集成运放的周期性翻转,从而在输出端产生一个方波。再经过积分电路产生三角波,最后通过正弦波转换电路形成正弦波。 一、设计要求: (1) 设计一套函数信号发生器,能自动产生方波、三角波、正弦波等电压波形; (2) 输出信号的频率要求可调; (3) 根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (4) 在面包板上搭出电路,最后在电路板上焊出来; (5) 测出静态工作点并记录; (6) 给出分析过程、电路图和记录的波形。 扩展部分: (1)产生一组锯齿波,频率范围为10Hz~100Hz , V V 8p -p =; (2)将方波—三角波发生器电路改成矩形波—锯齿波发生器,给出设计电路,并记录波形。 二、技术指标 (1) 频率范围:100Hz~1kHz,1kHz~10kHz ; (2) 输出电压:方波V V 24p -p ≤,三角波V V 6p -p =,正弦波V V 1p -p ≥; (3) 波形特性:方波s t μ30r < (1kHz ,最大输出时),三角波%2V <γ ,正弦波y~<2%。 三、选材: 元器件:ua741 2个,3DG130 4个,电阻,电容,二极管 仪器仪表: 直流稳压电源,电烙铁,万用表和双踪示波器 四、方案论证 方案一:用RC 桥式正弦波振荡器产生正弦波,经过滞回比较器输出方波,方波在经过积分器得到三角波。

巴克码信号处理的计算机仿真

巴克码信号处理的计算机仿真 侯民胜 (北京航空工程技术研究中心 北京 100076) 摘 要:巴克码信号是二相编码信号的一种,在PD 雷达中得到了广泛应用。对巴克码信号进行匹配滤波处理可使输出信噪比达到最大。介绍了匹配滤波器的设计原理,给出白噪声匹配滤波器的传递函数模型。在Matlab/Simulink 平台上,建立雷达发射信号为巴克码信号时匹配滤波器的仿真模型。计算机仿真表明,巴克码信号经匹配滤波器后脉冲宽度被压缩,信噪比得到了显著提高。该滤波器的脉冲压缩功能,解决了一般脉冲雷达通过增加脉冲宽提高作用距离与距离分辨力下降的矛盾。 关键词:巴克码信号;信号处理;匹配滤波器;信噪比;计算机仿真 中图分类号:TN95312 文献标识码:B 文章编号:10042373X (2008)232075203 Computer Simulation of Signal Processing of B arker Code HOU Minsheng (Beijing Aeronautical Technology Research Center ,Beijing ,100076,China ) Abstract :Barker code signal ,one of the two 2phase code signal ,is used widely in PD radar.Matched filter processing can make the output SNR reach the maximum.The design principle of matched filter is introduced ,and the model of transfer func 2tion of matched filter for white noise is given.Based on Matlab/Simulink ,the simulation model of matched filter for Baker code signal is setup.The simulation show that the pulse width is pressed when the Baker code signal through a matched filter ,and the SNR is enhanced evidently.This matched filter solved the conflict between the raising of detection range and the falling of the range resolution in common pulse radar by pulse pressing. K eywords :Barker code signal ;signal processing ;matched filter ;SNR ;computer simulation 收稿日期:2008205212 现代雷达要求既能探测远距离目标,又要有高的距离分辨力[1]。高的距离分辨力要求有极窄的脉冲宽度,这就限制了发射功率的增加,从而影响雷达的探测距离。采用脉冲压缩技术,发射宽脉冲信号,接收时经脉冲压缩后变成窄脉冲,可以解决雷达作用距离和距离分辨力之间的矛盾[2]。脉冲压缩雷达的发射信号一般为调频信号和二相编码信号。在有限的二相编码序列中,巴克码序列为最佳序列,它具有理想的自相关特性,在PD 雷达中得到了广泛的应用[3]。1 巴克码特性 相位编码信号的一般表达式为[4]:  s (t )=∑N -1 n =0 rect 1T ( t -nT )exp (j2πf 0t +θn ) (1) 式中,f 0为信号频率,N 为码长度,T 为子脉冲宽度,θn 为巴克码相位,取0或π。 其复包络信号为: u (t )=∑N -1 n =0 rect 1T ( t -nT )exp (j θn ) (2) 巴克码序列是相位编码信号的一种,具有理想的自 相关特性。巴克码的自相关函数的主峰和旁瓣均为底边宽度为2T 的等腰三角形,主瓣峰值是旁瓣峰值的13倍。目前能够找到的巴克码只有7种,子脉冲长度分别为:2,3,4,5,7,11,13。已经证明巴克码的最大长度为13位。 对式(1)取傅里叶变换可得到巴克码信号的频谱: U (f )=T sinc (f T ) ∑ N -1 n =0 c n exp (-j2πf n T )(3) 式中,c n =exp (θn ),取1或-1。2 巴克码信号的匹配处理 现代雷达信号处理系统的设计一般都采用匹配滤波 器,使输出信噪比达到最大。根据最佳匹配理论,白噪声环境下,巴克码信号最佳匹配滤波器的传输函数为[5]: H (f )=kU 3 (f ) (4)式中,k 为常数,U (f )为巴克码信号的频谱。 巴克码信号最佳匹配滤波器的组成如图1所示。第一级为子脉冲匹配滤波器,第二级为一个延迟加权网络。 5 7《现代电子技术》2008年第23期总第286期 通信与信息技术

移动通信课程设计——帧同步提取

课程设计报告 课题名称帧同步提取 学院 专业 班级 学号 姓名 指导教师 定稿日期: 2014 年 06月13 日

目录 摘要 (1) 一、前言 (2) 1.1 CDMA帧同步背景 (2) 二、帧同步提取基本原理 (3) 2.1 CDMA含义 (3) 2.2基本原理 (3) 2.2.1发端用户数据成帧 (3) 2.2.2 收端帧同步提取 (3) 三、帧同步提取设计 (6) 3.1课程设计分析 (6) 3.2帧同步提取测试设计步骤 (7) 3.2.1实验箱设置 (7) 3.2.2“发端数据成帧”测量步骤 (7) 3.3单片机程序流程图如下 (9) 四、帧同步提取测试结果 (10) 4.1课程设计实物链接图 (10) 4.2“发端数据成帧”实验过程 (10) 4.3实测收端帧同步误码: (11) 五、课设总结 (12) 参考文献 (13) 附录(源程序) (14)

摘要 在当今这个信息高速发展的时代,移动通信已经成为生活中不可或缺的一部分。在移动环境下点对点的传输问题已经得到解决,那么对于给定资源应该采用什么多址技术使得有限的资源能传输更大容量的信息?移动通信系统的发展经历了第一代模拟移动通信系统、第二代数字移动通信系统和第三代移动通信系统(IMT-2000)。第一代移动通信系统包括AMPS、TACS和NMT等体制。第二代数字移动通信系统包括GSM、IS-136(DAMPS)、PDC、IS-95等体制。一个典型的数字蜂窝移动通信系统包括:移动台(MS)、基站分系统(BSS)、移动交换中心(MSC)、原籍(归属)位置寄存器(HLR)、访问位置寄存器(VLR)、设备标识寄存器(EIR)、认证中心(AUC)和操作维护中心(OMC)。而这其中,多址技术便主要解决众多用户如何高效共享给定频谱资源的问题。常规的多址方式有三种:频分多址(FDMA)、时分多址(TDMA)和码分多址(CDMA)。数字通信时,一般总是以一定数目的码元组成一个个的“字”或“句”,即组成一个个的“群”进行传输,因此群同步信号的频率很容易由位同步信号经分频而得出,但是每群的开头和末尾时刻却无法由分频器的输出决定。群同步的任务就是要给出这个“开头”和“末尾”的时刻。群同步有时也称为帧同步。本次课程设计主要研究帧同步的提取及实现方法。 关键词:CDMA 帧同步移动通信

运放组成的波形发生器电路设计

运放组成的波形发生器电 路设计 This model paper was revised by the Standardization Office on December 10, 2020

运放组成的波形发生器电路设计、装配与调试 1. 运放组成的波形发生器的单元电路 运放的二个应用:⑴ 线性应用-RC 正弦波振荡器 ⑵ 非线性应用-滞回比较器 ⑴ RC 正弦波振荡器 RC 桥式振荡电路如图3-9所示。 图3-9 RC 桥式振荡电路 RC 桥式振荡电路由二部分组成: ① 同相放大器,如图3-9(a )所示。 ② RC 串并联网络,如图3-9(b )所示。 或图3-9(c )所示,RC 串并联网络与同相放大器反馈支路组成桥式电路。 同相放大器的输出电压uo 作为RC 串并联网络的输入电压,而将RC 串并联网络的输出电压作为放大器的输入电压,当f=f 0时, RC 串并联网络的相位移为零,放大器是同相放大器,电路的总相位移是零,满足相位平衡条件,而对于其他频率的信号,RC 串并联网络的相位移不为零,不满足相位平衡条件。由于RC 串并联网络在 f=f 0 时的传输系数F =1/3,因此要求放大器的总电压增益Au 应大于3,这对于集成运放组成的同相放大器来说是很容易满足的。由R 1、R f 、V 1、V 2及R 2构成负反馈支路,它与集成运放形成了同相输入比例运算放大器。 只要适当选择R f 与R 1的比值, 就能实现Au>3的要求。其中,V1、V2和R 2是实现自动稳幅的限幅电路。 1 1R R A f u + =RC f π210=

① 振荡原理 RC 桥式振荡电路如图3-9所示。根据自激振荡的条件,φ=φa+Φf=2πn ,其中RC 串并联网络作为反馈电路,当f=fo 时,φf=0°,所以放大器的相移应为φa=0°,即可用一个同相输入的运算放大器组成。又因为当f=fo 时,F=1/3,所以放大电路的放大倍数A ≥3。起振时A>3,起振后若只依靠晶体管的非线性来稳幅,波形顶部容易失真。为了改善输出波形,通常引入负反馈电路。其振荡频率由RC 串并联网络决定,图3-9(c )为RC 桥式振荡电路的桥式画法。RC 串并联网络及负反馈电路中的Rf+'2 R 、R1正好构成电桥四臂,这就是桥式振荡器名称的由来。在RC 串并联网络中, 取C C C R R R ====2121, 当虚部为零,即)/(11221C R C R ωω=时,3/1=F ② 稳幅原理 V 1、V 2和R 2是实现自动稳幅的限幅电路。V 1、V 2仅一只导通,导通的二极管和R 2并联等 效电阻为'2R 。根据同相放大器的放大倍数计算公式:1 ' 2 1R R R A f ++=可知输出电压幅度与 '2 R 有关。 )1()1(1 11111// 1 2 121211222211 222 2122 22 2221 11C R C R j R R C C C R j R C j R C R j R Z Z Z U U F C R j R C j R Z C j R Z o f ωωωωωωωω-+++ =++ ++= +==+= =+=?? ?

巴克码的详解

2.巴克码识别器 巴克码识别器是比较容易实现的,这里以七位巴克码为例,用7级移位寄存器、相加器和判决器就可以组成一识别器,具体结构如图7-16所示。7级移位寄存器的1、0端输出按照1110010的顺序连接到相加器输入,接法与巴克码的规律一致。当输入数据的“1”存入移位寄存器时,“1”端的输出电平为+1,而“0”端的输出电平为-1;反之,存入数据“0”时,“0”端的输出电平为+1,“1”端的电平为-1。 当发送端送来的码元自右向左进入时,首先考虑一个简单的情况:假设只计算巴克码(1110010)进入的几个移位寄存器的输出,此时将有巴克码进入一位,二位……七位全部进入,第一位移出尚留六位……前六位移出只留一位等13种情况。经过计算可得相加器的输出就是自相关函数,设码元进入移位寄存器数目为a,码元尚留在移位寄存器的数目是b,这是就可以得到a、b和j之间的关系式 图7-167位巴克码识别器 (7-38) 根据上述关系可以得到表7-2,它反映了相加器输出与a、b之间的关系。 表7-2 实际上述群同步码的前后都是有信息码的,具体情况如图7-17(a)所示,在这种情况下巴克码识别器的输出波形如图7-17(b)所示。

图7-17 识别器输入和输出波形 当七位巴克码在图7-17中的t1时刻,正好已全部进入了7级移位寄存器,这时7个移位寄存器输出端都输出+1,相加后得最大输出+7,如图7-17(b)所示,而判决器输出的两个脉冲之间的数据,称为一群数据或称为一帧数据。 当然,对于信息而言,由于其具有的随机特性,可以考察一种最不利的情况:即当巴克码只有部分码在移位寄存器时,信息码占有的其它移位寄存器的输出全部是+1,在这样一种对于群同步最不利的情况下,相加器的输出将如表7-3所示。由此可得到相加器的输出波形如图7-18所示。图中横坐标用a表示,由a、b和j之间的关系可知,。 表7-3 由图7-18可以看出,如果判决电平选择为6,就可以根据a=7时相加器输出的7,大于判决电平6而判定巴克码全部进入移位寄存器的位置。此时识别器输出一个群同步脉冲,表示群的开头。一般情况下,信息码不会正好都使移位寄存器的输出均为+1,因此实际上更容易判定巴克码全部进入移位寄存器的位置。后面还要讲到如果巴克码中有误码时,只要错一个码,当a=7时相加器输出将由7变为5,低于判决器的判决电平。因此,为了提高群同步的抗干扰性能,防止漏同步,判决电平可以改为4。但改为4以后容易发生假同步,这些问题在性能分析时要进一步讨论。

用VHDL语言设计一个周期性的11位巴克码11100010010序列发生器

班级:09通信(2)班姓名:闫建康学号:090110045 题目:用VHDL语言设计一个周期性的11位巴克码11100010010序列发生器,并用时序仿真验证其功能。 1.设计思路 移位寄存器具有并行数据串行输出的功能,所以可以利用移位寄存器将此11位巴克码右移输出,因为巴克码是非周期性的,所以要利用时钟将其周期性的输出。当时钟有效并且并行数据预置使能型号有效时将巴克码预置到并行数据预置端口,然后使并行数据预置使能型号无效,在时钟有效时依次右移输出巴克码11100010010。然后再当时钟有效并且并行数据预置使能型号有效时将巴克码预置到并行数据预置端口,在时钟有效时依次右移输出巴克码。这样就实现了巴克码的周期性输出。 2. VHDL程序 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY BAKEMA IS PORT ( CLK,LOAD: IN STD_LOGIC; DIN: IN STD_LOGIC_VECTOR(10 DOWNTO 0); QB: OUT STD_LOGIC ); END BAKEMA; ARCHITECTURE behav OF BAKEMA IS BEGIN PROCESS (CLK,LOAD) V ARIABLE REG11 : STD_LOGIC_VECTOR(10 DOWNTO 0); BEGIN IF CLK'EVENT AND CLK='1' THEN IF LOAD='1' THEN REG11:=DIN; ELSE REG11 (9 DOWNTO 0) := REG11(10 DOWNTO 1); END IF; END IF; QB<=REG11(0);

巴克码初稿

******************* 实践教学 ******************* 兰州理工大学 计算机与通信学院 2012年春季学期 计算机通信与网络课程设计 题目:差错控制编码的编译码设计与仿真 专业班级:09级通信一班 姓名:李杰 学号:09250106 指导教师:彭铎 成绩:

摘要 通信系统必须具备发现及检测差错的能力,并采取措施纠正,使差错控制在所能允许的尽可能小的范围内,这就是差错控制过程,也是数据链路层的主要功能之一。 本课程设计编辑了巴克码的编码和译码的程序,并实现了它的编译码过程;该程序可以对输入的5位的信息码进行巴克码编码,对于接收到的5位码字可以进行译码,从而判定是否是巴克码,整个过程是用MATLAB语言实现的。 关键词:编码;译码;MATLAB;巴克码

目录 前言 (1) 第1章基本原理 (2) 1.1 设计目的及意义 (2) 1.2 巴克码与帧同步 (2) 1.3 巴克码的产生和识别 (5) 第2章 MATLAB软件与介绍 (8) 2.1 MATLAB软件的特点 (8) 2.2 现有工业控制系统 (10) 2.3 MATLAB应用的工业控制系统中去的应用前景 (10) 第3章仿真过程及结果分析 (11) 3.1 程序流程图 (11) 3.2 仿真程序 (13) 3.3 程序仿真图 (15) 参考文献 (20) 总结 (21) 致谢.................................................................................................................... 错误!未定义书签。

多种波形发生器的设计与制作

课题三 多种波形发生器的设计与制作 方波、三角波、脉冲波、锯齿波等非正弦电振荡信号是仪器仪表、电子测量中最常用的波形,产生这些波形的方法较多。本课题要求设计的多种波形发生器是一种环形的波形发生器,方波、三角波、脉冲波、锯齿波互相依存。电路中应用到模拟电路中的积分电路、过零比较器、直流电平移位电路和锯齿波发生器等典型电路。通过对本课题的设计与制作,可进一步熟悉集成运算放大器的应用及电路的调试方法,提高对电子技术的开发应用能力。 1、 设计任务 设计并制作一个环形的多种波形发生器,能同时产生方波、三角波、脉冲波和锯齿波,它们的时序关系及幅值要求如图3-3-1所示。 图3-3-1 波形图 设计要求: ⑴ 四种波形的周期及时序关系满足图3-3-1的要求,周期误差不超过%1±。 ⑵ 四种波形的幅值要求如图3-3-1所示,幅值误差不超过%10±。 ⑶ 只允许采用通用器件,如集成运放,选用F741。

要求完成单元电路的选择及参数设计,系统调试方案的选取及综合调试。 2、设计方案的选择 由给定的四种波形的时序关系看:方波决定三角波,三角波决定脉冲波,脉冲波决定锯齿波,而锯齿波又决定方波。属于环形多种波形发生器,原理框图可用3-3-2表示。 图3-3-2 多种波形发生器的方框图 仔细研究时序图可以看出,方波的电平突变发生在锯齿波过零时刻,当锯齿波的正程过零时,方波由高电平跳变为低电平,故方波发生电路可由锯齿波经一个反相型过零比较器来实现。三角波可由方波通过积分电路来实现,选用一个积分电路来完成。图中的u B电平显然上移了+1V,故在积分电路之后应接一个直流电平移位电路,才能获得符合要求的u B波形。脉冲波的电平突变发生在三角波u B的过零时刻,三角波由高电平下降至零电位时,脉冲波由高电平实跳为低电平,故可用一个同相型过零比较器来实现。锯齿波波形仍是脉冲波波形对时间的积分,只不过正程和逆程积分时常数不同,可利用二极管作为开关,组成一个锯齿波发生电路。由上,可进一步将图3-3-2的方框图进一步具体化,如图3-3-3所示。 图3-3-3 多种波形发生器实际框图 器件选择,设计要求中规定只能选用通用器件,由于波形均有正、负电平,应选择由正、负电源供电的集成运放来完成,考虑到重复频率为100Hz(10ms),故选用通用型运放F741(F007)或四运放F324均可满足要求。本设计选用F741。其管脚排列及功能见附录三之三。

TDMA详解

TDMA详解 1,什么是TDMA TDMA:Time Division Multiple Access 又称时分多址。TDMA协议将时间轴化成一定的时元,每个时元划分为时隙,在每个时元内给每个网络站点分配一定数量的时隙以发射信号,而不在发射信号的时隙中则接收其他站点所发射的信号。每个网络站点均备有准确的时钟,为了实现时分多址工作,要以一指定站的时钟为基准,其他站点的时钟则预知同步,形成统一的系统时钟。 TDMA网络时隙的划分方法应根据实际的通信需求来决定。网络的时隙划分必须满足通信的实时性需求,同时也应考虑网络的效率,时隙太小网络的实时性好但是效率太低,时隙太长又不能保证通信的实时性。TDMA协议应用在对实时性要求比较高的数据通信中。性能比较稳定不存在CDMA协议(码分多址)的多址效应和远近效应。 2,TDMA系统的同步于定时 由于TDMA系统是以时间来分割来区分不同信道,通信双方只允许在规定的时隙发送和接收信号,因此在时间上同步TDMA通信系统正常工作的前提条件。 2.1位同步 在数字通信系统中,发端按照确定的时间顺序,逐个传输数据脉冲序列中的每个码元。而在接收端必须有准确的抽样判决时刻才能正确的判决所发送的码元,因此,接收端必须提供一个确定抽样判决时刻定时脉冲序列,这个定时脉冲序列的重复频率必须与发送的数码脉冲一致。同时在最佳判决时刻(称之为最佳相位时刻)对接收码元进行抽样判决。 为了得到码元的定时信号,首先要确定接收到信息数据流中是否包含有位定时的频率分量,如果存在此分量,就可以利用滤波器从信息数据流中把位定时信息提取出来。 如果基带信号为随机的二进制不归零码序列,则这种信号本身不包含位同步信号,为了获得位同步信号,需要在基带信号中插入位同步的导频信号,或者对该基带信号进行某种码型变换以得到同步信息。 实现位同步的的方法和载波同步类似,也有插入导频法和直接法两种,而在直接法中又分为滤波法和锁相法。考虑到TDMA通信系统是按时隙以突发方式传输信号的,为了迅速、准确、可靠地获得位同步信息,宜采用插入导频法而不宜采用自同步法。 插入导频法与载波同步时的插入导频法类似,它也是在基带信号频谱的零点插入所需的导频信号如图a,如果经过某种相关编码处理后的基带信号,其频谱的第一个零在?=(1/Tb)

一种低截获概率雷达信号及其信号处理

一种低截获概率雷达信号及其信号处理Ξ 史 林 彭 燕 张毓峰 (西安电子科技大学电子工程学院 西安710071) 【摘要】 针对低截获概率雷达设计了一种线性调频和相位编码混合信号,这种混合信号兼有线性调频和相位编码信号的优点,又弥补了各自的缺点,以小时宽、小带宽获得大信噪比改善。文中对这种混合信号的性能及脉冲压缩处理进行了理论和仿真分析。 【关键词】 雷达,脉冲压缩,低截获概率,线性调频,二相码 A LPI Radar Signal and Signal Process SHI Lin PENG Yan ZHANG Yu2feng (School of E lectronic Engineering,X idian University X ian710071)【Abstract】 A com pound signal com posed of linear frequency m odulation(LF M)and binary phase2coded(BC)signal for low proba2 bility intercept(LPI)radar is given in this paper.This com pound signal has the advantages of LF M&BC and can make up their deficiency, The signal2noise ratio will be greatly im proved by small time2width and frequency2width.Theoretical analysis and simulation for the charac2 terstics,and pulse2com pound process of the signal are given. 【K ey w ords】 radar,pulse com pression,LPI,LF M,BC 1 引 言 低截获概率(LPI)雷达主要通过信号积累获得足够的信噪比,以弥补雷达发射功率的不足,常用的信号有线性调频(LFM)、二相码(BC)和相参脉冲串等。另外在雷达系统设计中,为了解决距离分辨率和平均功率的矛盾采用脉冲压缩技术,LFM和BC信号是其经常采用的信号。采用加窗技术,LFM信号脉压后的旁瓣较低,对多普勒频率不敏感;但其信号形式比较简单,易受敌方干扰。由于可以采用码捷变技术,相位编码信号的抗干扰性能较好,但相位编码信号对多普勒频率比较敏感,而且脉压后的旁瓣较高,必需进行旁瓣抑制和多普勒补偿。 为了综合LFM和相位编码信号的优点,弥补二者的不足,本文设计出一种脉内线性调频、脉间相位编码的混合信号,这种混合信号兼有线性调频和相位编码信号的特点,又弥补了各自的缺点,以小时宽、小带宽获得大信噪比改善,避免了大时宽和大带宽带来的问题。文中对这种混合信号的脉冲压缩处理进行了理论和仿真分析。 2 信号设计及脉冲压缩2.1 线性调频信号 脉冲宽度为τ,调频带宽为B的线性调频信号复包络可表达为 S L FM(t)=rect t τexp(j πut2)(1)其中:u=B/τ是调制系数,D=Bτ是时宽带宽积。 线性调频信号脉冲压缩滤波器的脉冲响应为 h(t)=kS3L FM(t0-t)(2)其中:t0为脉冲时延,k为滤波器的增益。 脉压后的信号包络近似为辛克函数,-4dB处的脉宽τ′=1/B,第一旁瓣幅度为-13.2dB,压缩后的主瓣幅度是压缩前脉冲幅度的D倍。压缩前后的脉冲宽度之比为D,故D又称为压缩比。 线性调频信号的优点是对多普勒频率f d不敏感,由于其信号形式比较简单易受敌方干扰,且压缩后的旁瓣较高,通常采用加窗技术来降低旁瓣,但这时主瓣稍有展宽。实际中可在时域加窗,也可在频域加窗。对于时宽带宽积较小的信号时域加窗的压缩性能优于频域加窗;对于大时宽带宽积信号时域加窗与频域加窗的压缩性能基本接近。 Ξ收稿日期:2002211213 修订日期:2003201206 基金项目:国防重点实验室基金资助项目(N o.51431060101DZ0101)  2003年6月现代雷达第6期

相关主题
文本预览
相关文档 最新文档