当前位置:文档之家› 乒乓球游戏机

乒乓球游戏机

乒乓球游戏机
乒乓球游戏机

EDA 课程设计报告书

课题名称 乒乓球游戏机 姓 名

学 号 院 系

※※※※※※※※※ ※

※ ※※ ※

级学生

EDA 课程设计

专业

指导教师

年月日

基于EDA的乒乓球游戏机电路设计

1、设计目的

学会运用EDA设计各种电路、系统,熟练使用工具软件,把所学的专业知识更好的用到实践方面。

2、设计的主要内容和要求

用8个发光二极管代表乒乓球台,在游戏机的两侧各设置两个开关,一个是发球开关,一个是击球开关。甲方按动发球开关时,靠近甲方的第一盏灯亮,然后发光二极管由甲向乙依次点亮,代表乒乓球在移动。当球过网后,按设计者规定的球位乙方就可以击球。若乙方提前击球或没有击到球,则判乙方失分,甲方自动加分,重新发球比赛继续进行到一方记分到21分,该局结束,记分牌清零,可以开始新的一局比赛。

3 整体设计方案

3.1、片选信号产生模块

片选信号产生模块是用来产生数码管的片选信号,将产生的片选信号输送

到数据转换模块,以便其对输入数据进行选择。

3.2、核心模块

核心模块有两个功能,第一个是实现逻辑功能,即对甲方乙方的发球击球

情况进行判断,然后再对双方谁得分进行记录;第二个是将整数得计分转换成十进制数,以便译码显示。

3.3、数据转换模块

数据转换模块是将核心模块输送过来的数据通过片选信号对其进行选择,

并将符合要求的数据输送出去。

3.4、七段译码器

七段译码器用来将输入数据进行翻译,便于数码管显示出数据。

3.5、总电路

将各个模块产生的符号文件组合起来构成乒乓球游戏机。

4 硬件电路的设计

4.1、器件选择

设计开发软件:MAX+plusⅡ10.2;

CPLD/FPGA适配器板:EPF10K10接口板;

主芯片:ALTERA EPF10K1084-4;

4.2、总体框图

乒乓球游戏机的总体框图如下图所示:

图4.2 总体框图

4.3、各模块功能及其仿真

4.3.1片选信号产生模块(图4.3.1)

[1]片选信号产生模块是用来产生数码管的片选信号,将

产生的片选信号输送到数据转换模块,以便其对输入数据

进行选择。

图4.3.1片选信号产生模块符号[2]图4.3.1模块为片选信号产生模块,用来产生数码管的片选信号。其与数据

接口序号数据转换模块SEL2 SEL1 SEL0 选择数据

0 0 0 d2

0 0 1 d3

0 1 0 d3

0 1 1 d3

1 0 0 d0

1 0 1 d1

1 1 0 d3

1 1 1 d3

[3]CLK是扫描时钟信号接CLK5时钟信号源,A[2..0]是代表扫描片选地址信号SEL2,SEL1,SEL0的管脚同四位扫描驱动地址的低三位相连。其VHDL程序如下:library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity sel is

port(clk:in std_logic;

sell:out std_logic_vector(2 downto 0));

end sel;

architecture sel_arc of sel is

begin

process(clk)

variable tmp:std_logic_vector(2 downto 0);

begin

if(clk'event and clk='1')then

if(tmp="000")then

tmp:="001";

elsif tmp="001"then

tmp:="100";

elsif tmp="100"then

tmp:="101";

elsif tmp="101"then

tmp:="000";

end if;

end if;sell<=tmp;

end process;

end sel_arc;

[4]仿真波形如下所示:

图4.3.2 片选信号模块仿真波形图

[5]波形分析:

当CLK的上升沿到达时,sell按照十进制数0、1、4、5、0变化,并且向端口外输出片选信号,符合设计模块的要求。

4.3.2核心模块(图3.3.3)

[1]coma模块有两个功能,第一个是实现整个设计的逻辑功能,第二个是将整数得计分转换为十进制数,便于译码显示。clr为乒乓球游戏清零键,接按键;af 为甲方发球控制键,接按键;aj为甲方接球控制键,接按键;bf为乙方发球控制键,接按键;bj为乙方接球控制键,接按键;CLK为控制乒乓球行进速度的时钟信号,接clk时钟信号源;shift[7..0]为LED灯显示输出端,接8个LED显示灯;ah[3..0]为甲方记分的高位,al[3..0]为甲方记分的低位,bh[3..0]为乙方记分的高位,bl[3..0]为乙方记分的低位,以上四位都在经过译码器译码后接8位共阴极数码显示管。

[2]其VHDL程序如下所示:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity coma is

port(clr,af,aj,bf,bj,clk:in std_logic;

shift:out std_logic_vector(7 downto 0);

ah,al,bh,bl:out std_logic_vector(3 downto 0));图4.3.3 核心模块符号end coma;

architecture com_arc of coma is

signal amark,bmark:integer;

begin

process(clr,clk)

variable a,b:std_logic;

variable she:std_logic_vector(7 downto 0);

begin

if clr='0'then

a:='0';

b:='0';

she:="00000000";

amark<=0;

bmark<=0;

elsif clk'event and clk='1'then

if a='0' and b='0' and af='0'then

a:='1';

she:="10000000";

elsif a='0' and b='0' and bf='0' then

b:='1';

she:="00000001";

elsif a='1'and b='0'then

if bj='0'then

amark<=amark+1;

a:='0';

b:='0';

she:="00000000";

else

she:='0'&she(7 downto 1);

end if;

elsif she=0 then

amark<=amark+1;

a:='0';

b:='0';

else

if bj='0'then

a:='0';

b:='1';

else

she:='0'&she(7 downto 1);

end if;

end if;

elsif a='0' and b='1'then

if she<16 and she/=0 then

if aj='0' then

bmark<=bmark+1;

a:='0';

b:='0';

she:="00000000";

else

she:=she(6 downto 0)&'0'; end if;

elsif she=0 then

bmark<=bmark+1;

a:='0';

b:='0';

else

if aj='0'then

a:='1';

b:='0';

else she:=she(6 downto 0)&'0'; end if;

end if;

end if;

end if;

end process;

process(clk,clr,amark,bmark)

variable aha,ala,bha,bla:std_logic_vector(3 downto 0); variable tmp1,tmp2: integer;

begin

if clr='0'then

aha:="0000";

ala:="0000";

bha:="0000";

bla:="0000";

tmp1:=0;

tmp2:=0;

else if clk'event and clk='1' then

if amark>tmp1 then

if ala="1001"then

ala:="0000";

aha:=aha+1;

tmp1:=tmp1+1;

else

ala:=ala+1;

tmp1:=tmp1+1;

end if;

end if;

if bmark>tmp2 then

if bla="1001"then

bla:="0000";

bha:=bha+1;

tmp2:=tmp2+1;

else

bla:=bla+1;

tmp2:=tmp2+1;

end if;

end if;

end if;

al<=ala;

bl<=bla;

ah<=aha;

bh<=bha;

end if;

end process;

end com_arc;

[3] 仿真波形如下:

电子技术乒乓球比赛游戏机课程设计报告书

1绪论 1.1选题背景 1.1.1 课题目的及意义 本次课程设计的容是独立完成一个乒乓球比赛游戏机的设计,采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试,在微机上仿真实现乒乓球比赛游戏机的设计。通过这次课程设计让我们了解和熟悉了乒乓球游戏机的原理和Multisim仿真设计软件的操作,也让我们加深了解了对双向移位寄存器、双D触发器及、加法器及逻辑门电路的一些实际用途,并将理论与实践相结合。 1.1.2 课题的容和要求 独立完成一个乒乓球比赛游戏机的设计,采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试,在微机上仿真实现乒乓球比赛游戏机的设计。 课程设计具体容如下:乒乓球比赛是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判),乒乓球比赛模拟机是用发光二极管(LED)模拟乒乓球运 乒乓球比赛模拟机框图 设计要求:

1、基本部分 (1) 至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从由到左移动,“球”的移动速度能由时钟电路调节。 (2) 当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,表示未击中或违规,则对方得一分。 (3) 设计自动记分电路,甲乙双方各用一位数码管显示得分,每记满9分为一局。 2、发挥部分(选做) (1) 甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (2) 发球次数能由一位数码管显示。 (3) 一方得分,电路自动响铃3秒,此期间发球无效,等铃声停止后方可比赛。 课题任务要求 1、画出总体设计框图,以说明乒乓球比赛游戏机由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向和频率变化。并以文字对原理作辅助说明。 2、设计各个功能模块的电路图,加上原理说明。 3、选择合适的元器件,在EWB上连接验证、仿真、调试各个功能模块的电路。在连接验证时设计、选择合适的输入信号和输出方式,在充分电路正确性同时,输入信号和输出方式要便于电路的仿真、调试和故障排除。 4、在验证各个功能模块基础上,对整个电路的元器件和连接,进行合理布局,进行整个数字钟电路的连接验证、仿真、调试。 5、自行接线验证、仿真、调试,并能检查和发现问题,根据原理、现象和仿真结果分析问题所在,加以解决。学生要解决的问题包括元器件选择、连接和整体设计引起的问题。 1.2 方案选择 根据设计任务,对照图乒乓球比赛模拟及1.1,可以分为三个模块进行设计:

2018年全国青少年乒乓球比赛.doc

对比2017年成绩情况,2018年在题库量增加的情况下,语文和数学学科以及整体的平均分和合格率都略有降低,而英语学科平均分、合格率较2017年略有提高,但仍为各科中最低水平。 表3 2017年和2018年各年级成绩对比 对比2017年和2018年各年级成绩情况,相比其他年级,仅有初二、初三年级平均分和合格率整体较去年有所提升,其余年级均呈下滑态势,尤其是六年级和初一年级,平均分降幅在5%左右,合格率降幅在3.4%和6.8%。 表4 2018年各参赛代表队所属省市成绩情况

2018年各参赛代表队所属省市成绩情况如上表,随着题库题量的逐年增加,整体考试知识的广度略有增加。按原计划,2018年度语、数、英学科题库已经分别增加到200、150、130题,2019年度各年级语、数、英学科题库预计都要增加到200题,需要各参赛单位认真研究,做好针对性改进。 三、问题与建议 通过对过去两年乒乓球青少年赛前文化测试成绩的对比和分析,我们发现以下问题: (一)语文得分率为86.3%,考生对语文基础知识掌握较好,部分

学生存在词句积累不扎实,字词辨析错误多的问题,阅读分析、知识拓展等分率较低,全面分析、技能运用等综合能力还需要进一步提高; (二)数学方面,审题不清现象普遍存在,数学概念掌握不牢,基本计算准确率不高,失分严重;对定律及公式简单的演练缺少进一步综合运用,应用知识解决生活实际问题失分较多;几何图形认识能力不是很高; (三)英语方面,部分学生没有养成良好的英语学习习惯,简单题审题不认真,不注意字母的大小写区别,单词拼写运用失分较多,单词的变式,习语、固定搭配、时态、主谓、人称、单复数一致和变化问题掌握程度不高。 (四)赛前文化测试50道选择题都要填涂答题卡。但少数学生不会填涂答题卡,有的填涂答题卡黑度不够或不规范(应该以完全覆盖原来的选项字母为准),造成读卡机无法识别,考试成绩偏低。建议辅导老师提前教会运动员如何正确的填涂答题卡。 (五)各省市成绩统计表明少数参赛单位还没有完全重视文化学习以及赛前文化测试,如在U15少年锦标赛、少年乒乓球比赛(南方赛区、北方赛区)、后备人才基地预赛等比赛中出现同一个单位多名队员考试不通过的情况。 希望各省市相关部门及参赛单位对运动员文化测试给予足够重视,督促学生一步一个脚印,注重平时的学习和知识的掌握,养成知识不拖欠的好习惯,老师、教练和家长齐抓公管,促进学生掌握考试大纲中要求的各项知识和技能,让学生积极主动的学习,实实在在的训练,重视

乒乓球比赛游戏机设计报告

乒乓球比赛游戏机 姓名:___***____ 学号:___201114040215__ 班级: ___11表二____

一、设计题目及要求: 题目:乒乓球比赛游戏机。 要求:(1)设计一个甲乙双方参赛,裁判参与的乒乓球比赛游戏机用8个发光二极管排成一条直线,以中点为界,两边各代表参赛双方的位置,其中点亮的发光二极管代表“乒乓球”的当前位置,点亮的发光二极管依次由左向右或由右向左移动。 (2)当球运动到某方的最后一位时,参赛者应立即按下自己一方的按钮表示击球,若击中,则“球”向相反方向运动,若未击中,对方得一分。 (3)设置自动计分电路,双方各用两位数码管来显示计分,每局10分,到达10分时,产生报警信号,铃响三秒。 二、设计思路及总体方案 两人乒乓球游戏机是由发光二极管代替球的运动,并按照一定的规则进行对垒比赛。甲乙双方击球用开关表示。 当甲乙按动开关时,球向前运动,当球运动到某方的最后一位时,参赛者应立即按下自己一方的按钮,即表示击球。若未击中则表示对方得分,利用计数器连接成十进制计数器记分每局十分。当二者任意一方得分为十分时发生警信号,并且自动停止球的运动,三秒的报警后,自动停止。 图1:乒乓球比赛游戏结构框图

三、元器件选型及依据: 四、电路的设计: (1)总体设计思路如下: 1.用两个74LS194四位双向移位寄存器来模拟乒乓球台,其中第一个74LS194的DL输出端QD接第二个的右移串行输入端SR,这样当乒乓球往右准备移出第一个寄存器的时候就会在时钟脉冲的作用下被移入第二个寄存器。同样道理,第二个74LS194的QA输出端接第一个的左移串行输入端。 2.用JK触发器7473、继电器及逻辑门电路构成驱动控制电路。 3.用十进制计数器74LS160D、逻辑门电路和集成的4管脚的数码管组成计分电路。 4.用十进制计数器74LS160D改装3进制计数器、逻辑门电路和蜂鸣器组成3秒报警电路。 (2)单元电路设计: 2.1、球台电路设计: 球台电路设计如下图所示:

乒 乓 球 游 戏 机 设 计

Shaanxi University of Technology 通信工程专业课程设计Ⅱ 题目乒乓球游戏机设计 学生贾潇洒学号0713024056 所在院(系)陕西理工学院电信工程系 专业班级通信工程专业0 7 2 班 指导教师争兵 完成地点陕西理工学院电信工程系实验室 2010年 3 月 19 日

通信工程专业课程设计Ⅱ任务书 院(系) 电信工程系专业班级通信工程专业 072班学生贾潇洒 一、课程设计Ⅱ题目乒乓球游戏机设计 二、课程设计Ⅱ工作自 2010 年 3 月 1 日起至 2010 年 3 月 19 日止 三、课程设计Ⅱ进行地点: 电信工程系实验室 四、课程设计Ⅱ的容要求: [1]本课程设计的目的 本次课程设计为计算机类综合课程设计,通过计算机这个平台使我们将所学习的理论知识应用到实践中的一次尝试,同时也是对计算机辅助软件Max-pulsII的进一步熟悉。 [2]本课程设计的容 采用EDA技术---可采用VHDL或原理图输入法,设计一个乒乓球游戏电路,进行声光模拟,结果应有仿真波形、流程图、并下载在EDA开发板上,测试验证无误。 [3]本课程设计要实现的功能 用8个(或更多个)发光二极管排成一条直线代表乒乓球台,中间两个发光二极管兼做乒乓球网,两边各有两个开关控制双方的发球和接球,并能自动完成裁判、记分等功能。 [4]课程设计时间安排:3月1日-3月3日,熟悉容,方案论证; 3月4日-3月10日,编写程序、运行及仿真; 3月11日-3月12日,下载并验证其功能; 3月16 日,验收课程设计; 3月16日-3月19日,撰写、修改、提交课程设计报告。 指导教师系(教研室) 通信工程教研室接受任务开始执行日期 2010年3月1日学生签名

数电课程设计报告 乒乓球游戏设计

电子线路综合设计 乒乓球比赛模拟及计分器设计 2014年6月

摘要 在信息社会高速发展的今天,数字电路芯片已经实现高度集成化,并逐步渗透到医学、计算机等各个领域,对人类的生活有着深远的影响。本设计采用基本门电路以及74LS系列芯片的搭建,以multisim 12.0软件为平台进行仿真,实现了对乒乓球游戏的模拟。主要解决的问题有: (1)模拟乒乓球的轨迹:用双向移位4位寄存器74194以及基本门电路实现;(2)球速的调节:利用555电路实现; (3)球被击中、犯规的判断; (4)计数器的使用:采用74LS90和74LS161的组合,给玩家计分; (5)关于比分的显示:通过CD4511译码芯片将计数器的输出状态显示到2位共阴极数码管上。 关键词:双向移位4位寄存器、555电路、译码电路、计数器系统

目录 1 设计任务 (1) 2 电路整体设计 (2) 2.1 译码显示电路设计 (4) 2.2 555定时器组成脉冲发生器 (5) 2.3模拟乒乓球电路的设计 (6) 3 电路整体性能的检测 (7) 3.1 译码显示电路的检测 (7) 3.2 脉冲发生器电路的检测················································································· 3.3模拟乒乓球电路的检测··················································································4实验结论····················································································································5课程设计心得体会以及建议····················································································6 Abstract ······················································································································7附录(包含元器件清单以及各元器件功能表) ······················································8参考文献····················································································································

乒乓球比赛游戏机设计

目录 1 引言 0 1.1设计背景 0 1.2VHDL简介 0 1.3Q UARTUSⅡ简介 (1) 2 乒乓球比赛游戏机的设计 (1) 2.1系统设计要求 (1) 2.2设计思路 (2) 3 乒乓球比赛游戏机的实现 (4) 3.1乒乓球比赛游戏机的顶层原理图 (4) 3.2系统各功能模块的实现 (5) 3.2.1 比赛控制模块 (5) 3.2.2 记分模块 (5) 3.2.3 数码管显示模块 (6) 4 各个模块的仿真以及系统仿真、分析 (7) 4.1比赛控制模块仿真波形 (7) 4.2记分模块仿真波形图 (8) 4.3管脚锁定 (9) 4.4系统的波形仿真 (9) 4.5显示结果的几种情况 (12) 5 总结 (13) 5.1设计制作过程中遇到的问题及解决方案 (13) 5.2本设计有以下几个可以改进的地方 (13) 参考文献................................................................................................................... 错误!未定义书签。附录 (13) 游戏控制模块的VHDL程序 (13) 记分模块的VHDL程序 (16) 动态扫描模块的VHDL程序 (17) 译码器模块的VHDL程序 (18)

1 引言 1.1 设计背景 1.2 VHDL简介 硬件描述语言已经有几十年的发展历史,并且在系统的仿真、验证和设计、综合等方面得到成功的应用。目前常用的硬件描述语言有VHDL、Verilog HDL、ABEL等[2][3][4]。VHDL则起源于20世纪70年代末和80年代初,美国国防部提出的VHSIC计划,目标是为下一代集成电路的生产、实践阶段性的工艺极限和完成10万门级以上的电路设计而建立一种新的描述方法[5]。VHDL的英文全称为Very-High-Speed Integrated Circuit Hardware Description Language,是IEEE 标准化的硬件描述语言,并且已经成为系统描述的国际公认标准,得到众多EDA 公司的支持。 VHDL具有很多的优点使它能够被大多数人认可,被广泛应用在逻辑电路的设计方面,并且成为了标准化的硬件描述语言,其优点如下: (1)功能强大和设计灵活。一个简洁的使用VHDL语言编写的程序就可以描述一个复杂的逻辑电路,因为VHDL拥有强大的语言结构[6]。VHDL多层次的设计描述功能可以有效地控制设计的实现,支持设计库和可重复使用的元件生成,还支持多种设计方式,如层次化设计、模块化设计和同步、异步和随机电路设计。 (2)与具体器件无关。用VHDL设计硬件电路时不用先确定设计要用到哪种器件,也不用特别熟悉器件的内部结构,这样可以使设计人员专注于进行系统设计。设计完成后,可以根据消耗的资源选择合适的器件,而不造成资源的浪费。 (3)很强的移植能力。VHDL由很多不同的工具支持,同一个设计的程序可以在包括综合工具、仿真工具、系统平台等工具中使用。 (4)强大的硬件描述能力。VHDL可以描述系统级电路和门级电路,而且描述方式多样,可以采用行为描述、寄存器传输描述或者结构描述,也可以用其混合描述方式。同时,VHDL可以准确地建立硬件电路模型,因为它支持惯性延迟和

乒乓球比赛通知_范文完整版

《乒乓球比赛通知》 乒乓球比赛通知范本(一): 关于举办乒乓球比赛的通知 发布时间:2010-12-06浏览次数: 2010年元旦来临之际,为活跃广大教职工业余文化生活,提高乒乓球爱好者的运动水平,促进学校全民健身运动的开展,营造和谐校园氛围,机关工会决定举办庆元旦2010年教职工 乒乓球比赛。现将具体事宜通知如下: 一、比赛日期:12月9日(星期四)下午16:30 二、比赛地点:校工会乒乓球室 三、比赛项目:比赛为混合团体赛。项目:二级干部、男双、男单、混双、女单 四、参加办法与相关事宜 1、以工会小组为单位报名参赛,不足10人的工会小组能够与其他单位联合,联合单位 不得超过2个。每组限报人数不得超过7人。 2、报名截止日期:各单位于12月8日(周三)下午4:00以前将报名表报机关工会。 联系人:宋艳霞,报名电话:8392478,邮箱jgdw@upc。edu。 3、12月8日(周三)下午4:30各参赛队领队在机关工会办公室进行抽签。未出席单 位由机关工会指派人员代理抽签。 五、竞赛办法 1、本次比赛第一阶段为小组循环赛。第二阶段采用循环赛,按照积分决出名次。比赛执 行国际乒联最新规则;每场团体比赛采用5场3胜制;每场比赛采用5局3胜制;每局采用 11分制。团体比赛要求打满5场。 2、团体对抗赛第一场为领导干部单打,第二场为男子单打,第三场为男子双打,第四场 为混合双打,第五场为女子单打。上场比赛队员不能兼项。 3、比赛迟到10分钟按弃权论处。若有特殊状况请提前半天递交申请延迟比赛的报告, 待批准后方可延迟比赛。 4、比赛须尊重裁判和裁决,服从监管。 六、奖励办法:凡按比赛规程参加比赛的队,均给予参与奖 校机关工会 2010年12月6日

基于VHDL的乒乓球游戏机设计

1引言 EDA(Electronic Design Automation,电子设计自动化)技术是现代电子工程领域的一门新技术。它提供了基于计算机和信息技术的电路系统设计方法。EDA技术的发张和推广应用极大地推动了电子工业的发展。EDA技术就是以计算机为工具,在EDA软件平台上,对以硬件描述语言VHDL为系统逻辑描述手段完成的设计文件自动地完成逻辑编译,逻辑化简,逻辑分割,逻辑综合及优化,逻辑布局布线,逻辑仿真,直至对于特定目标芯片的适配编译,逻辑映射和编程下载等工作。设计者的工作仅限于利用软件的方式,即利用硬件描述语言来完成对系统硬件功能的描述,在EDA工具的帮助下就可以得到最后的设计结果[1]。近年来,集成电路制造技术的快速发展, 一方面促进了相应设计技术的发展, 另一方面也对设计技术提出了更高的要求。当前集成电路设计, 面临着功能强、性能好、规模大、成本低、设计周期短等一系列要求和挑战, 这些要求和挑战引起了集成电路设计方法的全面革新。当今, 以行为设计为主要标志的新一代数字系统设计理论已形成并得到发展。在集成电路的数字系统的系统级设计中, VHDL 硬件描述语言构造的描述模型优化设计, 有利于高效利用设计空间, 实现设计结构的精确分析, 使芯片资源得以充分利用。 1.1课题的背景、目的 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。而休闲时间越来越少的人们越来越没太多的时间出去搞户外活动,于是众多电子游戏相应而出,成为大家的娱乐节目。因此设计了这个两人的乒乓球游戏。而电子信息类产品的开发明显地出现了两个特点:一是开发产品的复杂程度加深;二是开发产品的上市时限紧迫。而伴随着如上两个特点的产生,相应的出现了设计上的两个问题。其一,在电子系统日趋数字化、复杂化和大规模集成化的今天,电子厂商们越加迫切地追求电子产品的高功能、优品质、低成本、微功耗和微小封装尺寸,从而使得电子设计日趋复杂。那么如何去完成这些高复杂度的电子设计呢?其二,电子产品设计周期短和上市快是电子厂商们坚持不懈的追求,那么面对日趋复杂的设计,又如何能够缩短开发时间呢?解决以上两个问题的唯一途径是电子设计自动化(EDA),即用计算机帮助设计人员完成繁琐的设计工作。

数电课程设计乒乓球比赛word文档

乒乓球比赛游戏机 一、设计任务与要求 1 课题目的及意义 本次课程设计的内容是独立完成一个乒乓球比赛游戏机的设计,采用EWB 电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试,在微机上仿真实现乒乓球比赛游戏机的设计。通过这次课程设计让我们了解和熟悉了乒乓球游戏机的原理和Multisim仿真设计软件的操作,也让我们加深了解了对双向移位寄存器、双D触发器及、加法器及逻辑门电路的一些实际用途,并将理论与实践相结合。 2 课题的内容和要求 设计一个甲、乙双方参赛,裁判参与的乒乓球比赛游戏模拟机。 基本要求: (1)用8个发光二极管排成一条直线,以中点为界,两边各代表参赛双方的位置,其中点亮的发光二极管代表“乒乓球”的当前位置,点亮的发光二极管依次由左向右或由右向左移动。 (2)当球运动到某方的最后一位时,参赛者应立即按下自己一方的按钮,即表示击球,若击中,则“球”向相反方向运动,若未击中,则对方得1分。(3)设置自动计分电路,双方各用二位数码管来显示计分,每局11分。到达11分时产生报警信号。 提高要求: (4)一方得分时,电路自动响铃3s,这期间发球无效,等铃声停止后方能继续比赛。 (5)设置局数显示,5局结束后有声响提示比赛结束。 课题任务要求 1、画出总体设计框图,以说明乒乓球比赛游戏机由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向和频率变化。并以文字对原理作辅助说明。

2、设计各个功能模块的电路图,加上原理说明。 3、选择合适的元器件,在EWB上连接验证、仿真、调试各个功能模块的电路。在连接验证时设计、选择合适的输入信号和输出方式,在充分电路正确性同时,输入信号和输出方式要便于电路的仿真、调试和故障排除。 4、在验证各个功能模块基础上,对整个电路的元器件和连接,进行合理布局,进行整个数字钟电路的连接验证、仿真、调试。 二、方案设计与论证 根据设计任务,对照图乒乓球比赛模拟及1.1,可以分为三个模块进行设计: 1. 球台电路:球迹移动电路可采用双向移位寄存器方法实现,由发光二极管作光点模拟乒乓球移动的轨迹。 2. 驱动控制电路:由双D触发器及逻辑门电路构成,通过此电路来控制并且实现球台灯的左右移位即实现乒乓球的运动。 3. 计分电路:使用十进制的计数器、逻辑门和集成的4管脚的数码管来组成计分电路。 通过多次设计、画图及仿真实验,我们发现方案电路最简洁,原理简单易懂,操作也很方便,且实用性较强。故采用此方案进行设计。 三、单元电路设计

乒乓球比赛方案

上海市基础工程集团有限公司 天津分公司职工乒乓球比赛方案 一、比赛目的 为了缓解公司员工工作的压力,丰富员工的生活,提高员工的身体素质,培养团结合作、顽强进取的进取精神,致力于为员工打造更好的互相交流互相学习的平台,从而为分公司的发展贡献更多的力量,我们将本着友谊第一,比赛第二,不重结果,重在参与的原则举行比赛。 二、比赛概况 1、主办单位:天津分公司 2、参赛单位:天津区域项目 3、报名时间:2015年11月20日 4、比赛时间:2015年11月22日 5、比赛地点:天津地铁6号线文化中心站项目活动室 6、比赛项目:男子单打,女子单打、男子双打 7、比赛总则:比赛采用11分制,即双方分数先达11分者胜,3局2胜。比赛进行淘汰赛制度,排出所有名次。 三、参赛要求: 1、比赛分男子单打组,女子单打组,男子双打三个组别。 2、比赛采取抽签形式,所有比赛实行单场淘汰赛制。 3、球拍、乒乓球自备(公司会备用2—3副球拍),每位比赛选手参赛当日自行着运动服、运动鞋到场。 4、友谊第一,比赛第二。

四、比赛方法: 1. 设个人赛,比赛进行淘汰赛制度,排出前六名。 2. 比赛均采用三局两胜,每次比赛均打满两局,每局采用11分制。 3. 比赛采用中国乒乓球协会审定的最新《乒乓球竞赛规则》。 4. 比赛使用红双喜40MM白色乒乓球。 五、奖项设置: 1、男子单打奖项:一等奖1名、二等奖1名、三等奖2名 2、女子单打奖项:前六名 3、男子双打奖项:前三名 4、参与奖:除获奖人员以外所有比赛选手。 六、比赛经费 1、比赛用品:乒乓球拍、矿泉水、纸巾等 2、奖品: 男子单打前六名:蚕丝被 女子单打前六名:蚕丝被 男子双打前三名:蚕丝被 参与奖:收纳盒 八、其他 1、如有心脑血管疾病或身体不适者请慎重报名参加比赛。 2、本活动最终解释权归天津分公司。 上海市基础工程集团有限公司 天津分公司 2015年11月15日

电子技术乒乓球比赛游戏机课程设计模板

电子技术乒乓球比赛游戏机课程设计

1绪论 1.1选题背景 1.1.1 课题目的及意义 本次课程设计的内容是独立完成一个乒乓球比赛游戏机的设计, 采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试, 在微机上仿真实现乒乓球比赛游戏机的设计。经过这次课程设计让我们了解和熟悉了乒乓球游戏机的原理和Multisim仿真设计软件的操作, 也让我们加深了解了对双向移位寄存器、双D触发器及、加法器及逻辑门电路的一些实际用途, 并将理论与实践相结合。 1.1.2 课题的内容和要求 独立完成一个乒乓球比赛游戏机的设计, 采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试, 在微机上仿真实现乒乓球比赛游戏机的设计。 课程设计具体内容如下: 乒乓球比赛是由甲乙双方参赛, 加上 二极管

设计要求: 1、基本部分 (1) 至少用8个LED排成直线, 以中点为界, 两边各代表参赛双方的位置, 其中一个点亮的LED( 乒乓球) 依次从左到右, 或从由到左移动, ”球”的移动速度能由时钟电路调节。 (2) 当球( 被点亮的那只LED) 移动到某方的最后一位时, 参赛者应该果断按下自己的按扭使”球”转向, 即表示启动球拍击中, 若行动迟缓或超前, 表示未击中或违规, 则对方得一分。 (3) 设计自动记分电路, 甲乙双方各用一位数码管显示得分, 每记满9分为一局。 2、发挥部分(选做) (1) 甲乙双方各设一个发光二极管表示拥有发球权, 每得5分自动交换发球权, 拥有发球权的一方发球才能有效。 (2) 发球次数能由一位数码管显示。 (3) 一方得分, 电路自动响铃3秒, 此期间发球无效, 等铃声停止后方可比赛。 课题任务要求 1、画出总体设计框图, 以说明乒乓球比赛游戏机由哪些相对独立

2015年郑州中学生乒乓球比赛

2015年郑州市中学生乒乓球比赛暨“晨光”中学生乒乓球比赛 秩 序 册 主办单位:郑州市教育局郑州市体育局协办单位:郑州市第十四中学 比赛时间:2015年5月7—10日

比赛地点:郑州市回民中学 郑州市中学生乒乓球比赛暨 “晨光”中学生乒乓球比赛组织委员会 主任:田保华 副主任:周朝晖王克杰 执行副主任:高百中 委员:孙宝华白天李焕立张天佑 原永明各参赛队领队 (组委会下设办公室、竞赛处、场地处) 办公室 主任:高百中 副主任:白天 成员:仝永东邵郑新梁勇林道凯 竞赛处 主任:孙宝华 成员:徐俊杰冉艳丹廉颇鲍磊张鹏

场地处 主任:王季 成员:回中体育组 仲裁委员会 白天李皓刘天祥李超 裁判员名单 裁判长刘天祥 副裁判长李超董仲义 编排魏文胜杨彤 裁判员刘玉梅魏红梅王新华薛生华张庆周增建黄予江刘东方秦冬辰袁跃 李利张鸿军周玫杜娟李保群 石晓顺

郑州市中学生乒乓球比赛暨 “晨光”中学生乒乓球比赛代表队名单 职业学校女子组 1、郑州市商贸管理学校 领队:范向宾教练员:张震 运动员:杨恺琳李文军任梓蕙殷苏源

2、郑州市经济贸易学校 领队:王金旺教练员:王振斌 运动员:黄煜静张星宇孙昱杨婷 3、郑州市科技工业学校 领队:王军教练员:杨海威 运动员:吕焕钰李梦妍张月 4、郑州市艺术工程学校 领队:陈红霞教练员:刘旭楠 运动员:周安奇丁佳丽刘松格史悦晴 职业学校男子组1、郑州市信息技术学校 领队:韩洁教练员:董中义 运动员:李憬峰宫智超张文浩张迪 2、郑州市商贸管理学校 领队:范向宾教练员:张震

运动员:陈岩任煜翔窦志豪徐梁杰 3、郑州市金融学校 领队:牛晓红教练员:刘凯 运动员:王峻涛李新饶立张张虎胡步林 4、郑州市经济贸易学校 领队:王金旺教练员:王振斌 运动员:朗明明王皓燚郭雯君魏薪来徐豪 5、郑州市科技工业学校 领队:王军教练员:杨海威 运动员:张金涛杨百顺秦子鑫李明轩 6、郑州市国防科技学校 领队:梁才教练员:孙兵 运动员:胡中钦楚伟争黄鹏瑞刘鹏超 7、郑州城轨交通中等专业学校

乒乓球比赛方案

****公司工会、团委举办花样乒乓球比赛活 动的通知 为进一步丰富广大职工业余生活,提高员工身体素质,展现员工自我风采,同时增进员工之间的沟通交流,公司将举办乒乓球比赛。具体事项如下: 一、比赛时间、地点、工作组 比赛时间:8月29日-8月30日17:00-18:00 比赛地点:职工之家 工作组组长:**** 工作组:****丽、****、**** 裁判:两名(待定) 二、报名 各部门组织职工参赛,请于23日中午12点前将填写好的报名表送至综合办公室。 三、参赛要求 1、报名范围为所有员工。 2、参赛人员运动装备自带。 3、本次比赛参赛人员自由报名。 四、赛制 1、比赛方式:本次比赛采取单场淘汰赛,比赛由抽签决定对战双方,采取五局三胜(11)分制。 2、比赛分组

根据职工之家运动记录,将参赛人员分为两个组别,甲组为球场常运动人员,乙组为普通选手。 3、比赛特权: 当甲级组选手和乙级组选手对战时,乙级组选手有权提出使用特权,抽取特权签约束对手; 同组别队员可根据实力差距,由实力较弱者提出使用特权,由裁判判定是否给予特权使用权利。 特权签如下: (1)、获得优先选边权和发球权。 (2)、另一方让1、2、3、4、5球。 (3)、改变持拍方式 (4)、全场发球权 五、奖项设置 本次比赛取前4名,设立一等奖一名、二等奖一名、三等奖两名。 六、比赛纪律规定 1、超过30分钟仍未到场或人员不齐则视为自动弃权; 2、所有参赛队员保证“友谊第一,比赛第二”原则,场外人员不得扰乱比赛秩序,不得喝倒彩。 3、场上球员不可有围攻、职责、谩骂裁判,不得有故意拖延比赛时间等行为,一旦发生则取消该队比赛资格。

数电课程设计基于Multisim的乒乓球游戏机控制电路设计

课程设计(论文) 课程名称:数字电子技术基础 题目:基于Multisim的乒乓球游戏机控制电路设计院(系): 专业班级: 姓名: 学号: 指导教师:

任务书 设计题目:基于Multisim乒乓球游戏机的控制设计电路 课题目的: 该乒乓球游戏机电路主要由3块组成:球台驱动电路,控制电路和计分电路组成。其中球台电路主要实现游戏者击球完毕后球的左右移动显示位置功能;控制电路实现游戏者A和B击球,裁判对系统初始化的功能;计分电路具有当A或B击球有效时加分和当游戏者的分数累计超过10时报警通知裁判对系统初始化以便重新开始比赛计分功能。 课题主要内容与要求: 内容:本课题设计一个以8个二极管的依次被点亮代表球的移动位置双向选择开关J2,J3控制发球,击球信号,在Multisim软件上测试结果。 要求:1、熟悉Multisim软件 2、用8个发光二极管表示球,用俩个按钮分别表示AB俩个球员的球拍; 3、A,B各有一个数码管计分。 4、裁判有一个按钮,用来对系统初始化,每次得分后按下一次。

摘要 乒乓球游戏机通过十分巧妙地设计采用数字芯片实现乒乓球左右移动,选手击球得分,累计得分超10报警灯功能。该设计三个双向开关J1,J2,J3分别作为裁判和游戏者A,B,且选手可以译码显示器上直接读出自己的得分,具有操作简单,结构清晰的优点。 对与模电课题的研究离不开电路图,不过现在都在实行电子化,所以需要借助电子产品。Multisim软件就是一款画电路图的电子软件,在此对不太熟悉或未接触过Multisim软件的朋友简短的介绍下: Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。同时具备可以根据自己的需求制造出真正属于自己的仪器;所有的虚拟信号都可以通过计算机输出到实际的硬件电路上;所有硬件电路产生的结果都可以输回到计算机中进行处理和分析等特点。该乒乓球游戏机电路主要有3块电路:台球驱动电路,控制电路和计分电路组成。其中台球驱动电路主要实现游戏者击球完毕后球的左右移动显示位置功能;控制电路实现游戏者A和B击球,裁判对系统初始化的功能;

2015年教职工乒乓球比赛秩序册

焦作师专第七届教职工乒乓球赛 秩 序 册 主办单位:焦作师专工会 协办:教职工乒乓球协会 数学学院分工会 2015年11月26日

焦作师专教职工乒乓球赛竞赛规程 为推动我校群众性体育活动的开展,丰富和活跃教职工业余文化生活,展现教职工风采,促进教职工身体健康,弘扬国球精神,校工会和教职工乒乓球协会将在2015年12月7日至12月11日举办第七届教职工乒乓球比赛。 一、主办单位:焦作师专工会;协办:教职工乒乓球协会、数学学院分工会。 二、地点:行政楼4楼活动室,1、2、3、4、5号台在老干部活动中心,6号台在机关工会活动室。 三、参加对象:全校所有在编教职员工 四、竞赛项目:团体赛、男子单打、女子单打 五、竞赛规则: (1)参考国际乒乓球比赛规则进行比赛,比赛分为预赛和决赛。 (2)预赛为淘汰赛制,决赛为分组循环和交叉淘汰赛制。 (3)预赛采取三局两胜制,决赛采取五局三胜制。团体赛采用五局三胜制,一、二、四、五为单打,三为双打,出场顺序为:1、 A vs X,2、 B vs Y,3、 A(或B)+C vs Y(或X)+Z,4、 B (或A) vs Z,5、 C vs X(或Y),每个选手最多出场两次。 (4)比赛中对未按规定时间到达的选手,迟到20分钟以上的按自动弃权处理。 六、奖励办法 奖励团体前四名、男子单打前十二名和女子单打前六名。

组委会 主任:张新海 副主任:张军朱青堂王世雷 委员:各分工会主席等 裁判员名单 裁判长:王世雷 裁判员:李随源、唐智慧(1号台);陈有行、许会才(2号台); 种国富、屈长明(3号台);廉迎普、刘绍峰(4号台); 陈斌、孙建设(5号台);秦红梅、李秀全(6号台) 代表队名单 (排名不分先后) 文学院代表队 领队:赵一民 运动员:赵一民、石立干、张宪、张绍梅(女) 团体赛成员:赵一民、石立干、张宪、张绍梅(女) 计算机与信息工程学院代表队 领队:秦晓明 运动员:秦晓明、杨梦龙、贾林、王素芳(女) 团体赛成员:秦晓明、杨梦龙、贾林、王素芳(女) 数学学院代表队

乒乓球比赛游戏机设计总结报告

现代电子与系统设计总结报告 项目名称:乒乓球比赛游戏机 班级:物科院1005 姓名:周** 沈** 学号:071005** 071005** 指导老师:倪** 提交日期:2012/12/23

封面 (1) 一、设计要求 (3) 二、设计的具体实现 (3) 1、系统框图 (3) 2、甲乙方得分显示模块 (4) 3、加减计数模块 (6) 4、译码模块 (8) 5、控制模块 (9) 6、核心问题 (12)

三、结果分析 (15) 四、附件 (16) 1、完整电路图 (16) 2、各个自制元件的VHDL程序 (16) 一、设计要求 设计一个乒乓球比赛游戏机 (1)设计一个由甲乙双方参加,有裁判的三人乒乓球游戏机; (2)用8个(或更多个)LED排成一条直线,以中点为界,两边各代表参赛双方的位置,期中一只点亮的LED指示球的当前位置,点亮的LED依次从左到右,或从右到左,其移动的速度应能调节; (3)当“球”(点亮的那支LED)运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关,即表示启动球拍击球,若击中则球向相反方向移动,若未击中,球掉出桌外,则对方得一分; (4)设计自动计分电路,甲乙双方各用两位数码管进行计分显示,每记满11分为1局;(5)甲乙双方各设一个发光二极管表示拥有发球权,每隔2次自动交换发球权,拥有发球权的一方发球才有效;

(6)其他。 二、设计的具体实现 1、系统框图 此系统框图分为控制模块,加/减计数模块,译码显示模块和甲乙方得分显示模块。 2、甲乙方得分显示模块 甲乙双方各用两位数码管进行计分显示,通过控制模块加以控制。 甲乙得分的计数: 图形: VHDL语言: LIBRARY ieee; USE ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;

乒乓球知识竞赛题目

一选择题 1.乒乓球运动于十九世纪末起源于(A) A.英国 B.法国 C.德国 2.根据资料证实年在英国报纸的广告上谈到有“桌上网球”的名字(C) A.1864 .1874 C 3.1902年是国家的人发明了胶皮拍,有利地促进了乒乓球技术的发展( B) A.奥地利人 B.英国人 C.法国人 年是国家的人发明了海绵拍,加快了乒乓球的击球速度( C) A.日本人 B.英国人 C.奥地利人 5.1952年国家选手首次使用海绵拍参加第19届世界锦标赛,并取得优异成绩( A) A.日本人 B.韩国人 C.瑞典人 6.的改革促进了乒乓球技术的发展( B) A.球 B.球拍 C.球网 7.1981年10月1日国际奥委会在国家举行会议,决定把乒乓球列入奥运会比赛项目(A) A.联邦德国 B.法国 C.瑞士 8.乒乓球运动起源于英国,派生于运动( C) A.羽毛球 B.排球 C.网球 9.我国夺取第一个世界冠军运动员是( B) A.庄则栋 B.容国团 C.李富荣 10奥运会乒乓球比赛第一块金牌得主是我国的( C) A,邓亚萍B乔红C陈静 11.我国连续三届荣获世乒赛男子单打冠军的运动员是(A ) A.庄则栋 B. 江加良 C .刘国良 12. 第届奥运会,乒乓球运动别列入正式比赛项目( A ) C 25 13.国际乒联第一任主席是( B) A.英国人斯韦思林 B. 英国人蒙塔古 C.英国人乌德科克 14. 世界锦标赛男子团体奖杯叫( B) A .圣·勃莱德杯 B. 斯韦思林杯 C. 吉·盖斯特杯 15. 世界锦标赛男子单打奖杯( C) A . 吉·盖斯特杯 B. 斯韦思林杯 C.圣·勃莱德杯 16. 世界锦标赛女子单打奖杯( A ) A . 吉·盖斯特杯 B. 斯韦思林杯 C.圣·勃莱德杯 17. 世界锦标赛女子团体奖杯( A ) A.马赛尔·考比伦杯 B.圣·勃莱德杯 C. 斯韦思林杯18.在36届世乒赛上,我国健儿获得了金牌是( C) 块块 C. 7块 19.我国加入国际乒联的时间是( A ) 年年 C. 1964年

乒乓球比赛游戏机设计

目录 1 引言 (1) 1.1设计背景 (1) 1.2VHDL简介 (1) 1.3Q UARTUSⅡ简介 (3) 2 乒乓球比赛游戏机的设计 (3) 2.1系统设计要求 (3) 2.2设计思路 (4) 3 乒乓球比赛游戏机的实现 (6) 3.1乒乓球比赛游戏机的顶层原理图 (6) 3.2系统各功能模块的实现 (6) 3.2.1 比赛控制模块 (6) 3.2.2 记分模块 (7) 3.2.3 数码管显示模块 (8) 4 各个模块的仿真以及系统仿真、分析 (9) 4.1比赛控制模块仿真波形 (9) 4.2记分模块仿真波形图 (9) 4.3管脚锁定 (10) 4.4系统的波形仿真 (11) 4.5显示结果的几种情况 (14) 5 总结 (15) 5.1设计制作过程中遇到的问题及解决方案 (15) 5.2本设计有以下几个可以改进的地方 (15) 参考文献 (16) 附录 (17) 游戏控制模块的VHDL程序 (17) 记分模块的VHDL程序 (19) 动态扫描模块的VHDL程序 (20) 译码器模块的VHDL程序 (21)

1 引言 1.1 设计背景 1.2 VHDL简介 硬件描述语言已经有几十年的发展历史,并且在系统的仿真、验证和设计、综合等方面得到成功的应用。目前常用的硬件描述语言有VHDL、Verilog HDL、ABEL等[2][3][4]。VHDL则起源于20世纪70年代末和80年代初,美国国防部提出的VHSIC计划,目标是为下一代集成电路的生产、实践阶段性的工艺极限和完成10万门级以上的电路设计而建立一种新的描述方法[5]。VHDL的英文全称为Very-High-Speed Integrated Circuit Hardware Description Language,是IEEE 标准化的硬件描述语言,并且已经成为系统描述的国际公认标准,得到众多EDA 公司的支持。 VHDL具有很多的优点使它能够被大多数人认可,被广泛应用在逻辑电路的设计方面,并且成为了标准化的硬件描述语言,其优点如下: (1)功能强大和设计灵活。一个简洁的使用VHDL语言编写的程序就可以描述一个复杂的逻辑电路,因为VHDL拥有强大的语言结构[6]。VHDL多层次的设计描述功能可以有效地控制设计的实现,支持设计库和可重复使用的元件生成,还支持多种设计方式,如层次化设计、模块化设计和同步、异步和随机电路设计。 (2)与具体器件无关。用VHDL设计硬件电路时不用先确定设计要用到哪种器件,也不用特别熟悉器件的内部结构,这样可以使设计人员专注于进行系统设计。设计完成后,可以根据消耗的资源选择合适的器件,而不造成资源的浪费。 (3)很强的移植能力。VHDL由很多不同的工具支持,同一个设计的程序可以在包括综合工具、仿真工具、系统平台等工具中使用。 (4)强大的硬件描述能力。VHDL可以描述系统级电路和门级电路,而且描述方式多样,可以采用行为描述、寄存器传输描述或者结构描述,也可以用其混合描述方式。同时,VHDL可以准确地建立硬件电路模型,因为它支持惯性延迟和

模拟乒乓球游戏机控制电路课程设计

目录 一、基本设计要求 二、STC89C52芯片介绍 三、程序流程图 四、“乒乓球”比赛系统数码管部分 五、“乒乓球”比赛系统模拟“兵乓球”部分 六、“乒乓球”比赛系统控制开关部分 七、程序设计 八、参考文献

一、基本设计要求: 1、设计一个由甲、乙双方参赛。 2、用8个LED排成一条直线,以中点为界,两边各代表参赛双方的位置,其中一只点亮的LED指示球的当前位置,点亮的LED依此从左到右,或从右到左,其启动的方向可以由任意一方开始。 3、当“球”(点亮的那只LED)运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关,即表示启动球拍击球。若击中,则球向相反方向移动;若未击中,则对方得1分。由失分的一方开球。 4.设置自动记分电路,甲、乙双方各用2位数码管进行记分显示,每计满21分为1局,一局到的时候蜂鸣器响起,并显示局数之比。5、随时可以复位比赛,比赛的比分和状态可以复位。 摘要: 为了实现模拟乒乓球比赛的过程和规则,我们采用了STC89C52单片机来控制模拟,采用用8个LED排成一条直线,以中点为界,两边各代表参赛双方的位置,其中一只点亮的LED指示球的当前位置,用蜂鸣器来实现响铃,比赛双方用按钮开关来模拟启动球拍击球,用数码管来显示比赛分数,和局数之比。 关键词:AT89C51 LED 蜂鸣器。 二、芯片介绍 2.1、 89C52芯片介绍 STC89C52是51系列单片机的一个型号,它是ATMEL公司生产的。

STC89C52是一个低电压,高性能CMOS 8位单片机,片内含8k bytes 的可反复擦写的Flash只读程序存储器和256 bytes的随机存取数据存储器(RAM),器件采用ATMEL公司的高密度、非易失性存储技术生产,兼容标准MCS-51指令系统,片内置通用8位中央处理器和Flash 存储单元。 STC89C52有40个引脚,32个外部双向输入/输出(I/O)端口,同时内含2个外中断口,3个16位可编程定时计数器,2个全双工串行通信口,2个读写口线,STC89C52可以按照常规方法进行编程,但不可以在线编程(S系列的才支持在线编程)。其将通用的微处理器和Flash存储器结合在一起,特别是可反复擦写的Flash存储器可有效地降低开发成本。 兼容MCS51指令系统· 8k可反复擦写(>1000次)Flash ROM · 32个双向I/O口· 256x8bit内部RAM · 3个16位可编程定时/计数器中断· 时钟频率0-24MHz · 2个串行中断· 可编程UART串行通道 · 2个外部中断源· 共5个中断源 · 2个读写中断口线· 3级加密位 · 低功耗空闲和掉电模式· 软件设置睡眠和唤醒功能 STC89c52为40脚双列直插封装的8 位通用微处理器,采用工业标准的C51内核,在内部功能及管脚排布上与通用的8xc52 相同,其主要用于会聚调整时的功能控制。功能包括对会聚主IC 内部寄存器、数据RAM及外部接口等功能部件的初始化,会聚调整控制,会聚测试

相关主题
文本预览
相关文档 最新文档