当前位置:文档之家› 乒乓球比赛游戏机课程设计报告基于QuartusII FPGA

乒乓球比赛游戏机课程设计报告基于QuartusII FPGA

乒乓球比赛游戏机课程设计报告基于QuartusII FPGA
乒乓球比赛游戏机课程设计报告基于QuartusII FPGA

电子技术乒乓球比赛游戏机课程设计报告书

1绪论 1.1选题背景 1.1.1 课题目的及意义 本次课程设计的容是独立完成一个乒乓球比赛游戏机的设计,采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试,在微机上仿真实现乒乓球比赛游戏机的设计。通过这次课程设计让我们了解和熟悉了乒乓球游戏机的原理和Multisim仿真设计软件的操作,也让我们加深了解了对双向移位寄存器、双D触发器及、加法器及逻辑门电路的一些实际用途,并将理论与实践相结合。 1.1.2 课题的容和要求 独立完成一个乒乓球比赛游戏机的设计,采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试,在微机上仿真实现乒乓球比赛游戏机的设计。 课程设计具体容如下:乒乓球比赛是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判),乒乓球比赛模拟机是用发光二极管(LED)模拟乒乓球运 乒乓球比赛模拟机框图 设计要求:

1、基本部分 (1) 至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从由到左移动,“球”的移动速度能由时钟电路调节。 (2) 当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,表示未击中或违规,则对方得一分。 (3) 设计自动记分电路,甲乙双方各用一位数码管显示得分,每记满9分为一局。 2、发挥部分(选做) (1) 甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (2) 发球次数能由一位数码管显示。 (3) 一方得分,电路自动响铃3秒,此期间发球无效,等铃声停止后方可比赛。 课题任务要求 1、画出总体设计框图,以说明乒乓球比赛游戏机由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向和频率变化。并以文字对原理作辅助说明。 2、设计各个功能模块的电路图,加上原理说明。 3、选择合适的元器件,在EWB上连接验证、仿真、调试各个功能模块的电路。在连接验证时设计、选择合适的输入信号和输出方式,在充分电路正确性同时,输入信号和输出方式要便于电路的仿真、调试和故障排除。 4、在验证各个功能模块基础上,对整个电路的元器件和连接,进行合理布局,进行整个数字钟电路的连接验证、仿真、调试。 5、自行接线验证、仿真、调试,并能检查和发现问题,根据原理、现象和仿真结果分析问题所在,加以解决。学生要解决的问题包括元器件选择、连接和整体设计引起的问题。 1.2 方案选择 根据设计任务,对照图乒乓球比赛模拟及1.1,可以分为三个模块进行设计:

电子拔河游戏机 EDA 实验

安徽财经大学 电子拔河比赛游戏机的设计 姓名:陈辉、胡安宁、郭真真、朱晓庆 学号:2010830044、2010830027、2010830018、2010830043 学院:管理科学与工程学院 专业:电子信息工程 指导老师:于帅珍 设计时间:2013年5月

目录 一、设计任务以及要求--------------------------------------- 4 二、总体框图-------------------------------------- --------------4 三、选择器件---------------------------------------- ------------5 四、功能模块-------------------------------- --------------------10 五、总体设计-------------------------------------- --------------16

一、?设计任务以及要求 1. 设计一个模拟拔河游戏机比赛的逻辑电路。 2. 电路使用15个电平指示灯排成一排,开机后只有中间一个点亮,以此作 为拔河的中心线。 3. 比赛双方各持一个按键,迅速不断的按动产生脉冲,谁按得快,亮点向谁 方向移动。每按一次,亮点移动一次。 4.移动到任何一方终端指示灯点亮,这一方得胜,此时双方按键均无作用,输出保持,只有经裁判按动复位后,恢复到中心线。 5.显示器显示胜者的盘数。 二、总体框图 1. 设计方案: (1)本课题所设计的拔河游戏机由15电平指示灯排列成一行,开机 之后只有中间一个电平指示灯亮,以此作为拔河的中心线。可逆计数器原始状态为0000,经译码后输出中间的电平指示灯亮。游戏双方各持一个按键,迅速地、不断地按动产生脉冲信号,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使亮点恢复到中心线。 (2)当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲 信号的作用.即实现电路自锁,使加减脉冲无效。同时,使计分电路自动加分。当两人比赛结束后,裁判可以让计分显示器清零。 (3)控制电路部分应能控制由振荡器产生的脉冲信号进入计数器的加 减脉冲的输入端,其进入方向则由参赛双方的按键信号决定。 2. 电路原理图:

乒乓球比赛游戏机设计报告

乒乓球比赛游戏机 姓名:___***____ 学号:___201114040215__ 班级: ___11表二____

一、设计题目及要求: 题目:乒乓球比赛游戏机。 要求:(1)设计一个甲乙双方参赛,裁判参与的乒乓球比赛游戏机用8个发光二极管排成一条直线,以中点为界,两边各代表参赛双方的位置,其中点亮的发光二极管代表“乒乓球”的当前位置,点亮的发光二极管依次由左向右或由右向左移动。 (2)当球运动到某方的最后一位时,参赛者应立即按下自己一方的按钮表示击球,若击中,则“球”向相反方向运动,若未击中,对方得一分。 (3)设置自动计分电路,双方各用两位数码管来显示计分,每局10分,到达10分时,产生报警信号,铃响三秒。 二、设计思路及总体方案 两人乒乓球游戏机是由发光二极管代替球的运动,并按照一定的规则进行对垒比赛。甲乙双方击球用开关表示。 当甲乙按动开关时,球向前运动,当球运动到某方的最后一位时,参赛者应立即按下自己一方的按钮,即表示击球。若未击中则表示对方得分,利用计数器连接成十进制计数器记分每局十分。当二者任意一方得分为十分时发生警信号,并且自动停止球的运动,三秒的报警后,自动停止。 图1:乒乓球比赛游戏结构框图

三、元器件选型及依据: 四、电路的设计: (1)总体设计思路如下: 1.用两个74LS194四位双向移位寄存器来模拟乒乓球台,其中第一个74LS194的DL输出端QD接第二个的右移串行输入端SR,这样当乒乓球往右准备移出第一个寄存器的时候就会在时钟脉冲的作用下被移入第二个寄存器。同样道理,第二个74LS194的QA输出端接第一个的左移串行输入端。 2.用JK触发器7473、继电器及逻辑门电路构成驱动控制电路。 3.用十进制计数器74LS160D、逻辑门电路和集成的4管脚的数码管组成计分电路。 4.用十进制计数器74LS160D改装3进制计数器、逻辑门电路和蜂鸣器组成3秒报警电路。 (2)单元电路设计: 2.1、球台电路设计: 球台电路设计如下图所示:

乒 乓 球 游 戏 机 设 计

Shaanxi University of Technology 通信工程专业课程设计Ⅱ 题目乒乓球游戏机设计 学生贾潇洒学号0713024056 所在院(系)陕西理工学院电信工程系 专业班级通信工程专业0 7 2 班 指导教师争兵 完成地点陕西理工学院电信工程系实验室 2010年 3 月 19 日

通信工程专业课程设计Ⅱ任务书 院(系) 电信工程系专业班级通信工程专业 072班学生贾潇洒 一、课程设计Ⅱ题目乒乓球游戏机设计 二、课程设计Ⅱ工作自 2010 年 3 月 1 日起至 2010 年 3 月 19 日止 三、课程设计Ⅱ进行地点: 电信工程系实验室 四、课程设计Ⅱ的容要求: [1]本课程设计的目的 本次课程设计为计算机类综合课程设计,通过计算机这个平台使我们将所学习的理论知识应用到实践中的一次尝试,同时也是对计算机辅助软件Max-pulsII的进一步熟悉。 [2]本课程设计的容 采用EDA技术---可采用VHDL或原理图输入法,设计一个乒乓球游戏电路,进行声光模拟,结果应有仿真波形、流程图、并下载在EDA开发板上,测试验证无误。 [3]本课程设计要实现的功能 用8个(或更多个)发光二极管排成一条直线代表乒乓球台,中间两个发光二极管兼做乒乓球网,两边各有两个开关控制双方的发球和接球,并能自动完成裁判、记分等功能。 [4]课程设计时间安排:3月1日-3月3日,熟悉容,方案论证; 3月4日-3月10日,编写程序、运行及仿真; 3月11日-3月12日,下载并验证其功能; 3月16 日,验收课程设计; 3月16日-3月19日,撰写、修改、提交课程设计报告。 指导教师系(教研室) 通信工程教研室接受任务开始执行日期 2010年3月1日学生签名

数电课程设计报告 乒乓球游戏设计

电子线路综合设计 乒乓球比赛模拟及计分器设计 2014年6月

摘要 在信息社会高速发展的今天,数字电路芯片已经实现高度集成化,并逐步渗透到医学、计算机等各个领域,对人类的生活有着深远的影响。本设计采用基本门电路以及74LS系列芯片的搭建,以multisim 12.0软件为平台进行仿真,实现了对乒乓球游戏的模拟。主要解决的问题有: (1)模拟乒乓球的轨迹:用双向移位4位寄存器74194以及基本门电路实现;(2)球速的调节:利用555电路实现; (3)球被击中、犯规的判断; (4)计数器的使用:采用74LS90和74LS161的组合,给玩家计分; (5)关于比分的显示:通过CD4511译码芯片将计数器的输出状态显示到2位共阴极数码管上。 关键词:双向移位4位寄存器、555电路、译码电路、计数器系统

目录 1 设计任务 (1) 2 电路整体设计 (2) 2.1 译码显示电路设计 (4) 2.2 555定时器组成脉冲发生器 (5) 2.3模拟乒乓球电路的设计 (6) 3 电路整体性能的检测 (7) 3.1 译码显示电路的检测 (7) 3.2 脉冲发生器电路的检测················································································· 3.3模拟乒乓球电路的检测··················································································4实验结论····················································································································5课程设计心得体会以及建议····················································································6 Abstract ······················································································································7附录(包含元器件清单以及各元器件功能表) ······················································8参考文献····················································································································

乒乓球比赛游戏机设计

目录 1 引言 0 1.1设计背景 0 1.2VHDL简介 0 1.3Q UARTUSⅡ简介 (1) 2 乒乓球比赛游戏机的设计 (1) 2.1系统设计要求 (1) 2.2设计思路 (2) 3 乒乓球比赛游戏机的实现 (4) 3.1乒乓球比赛游戏机的顶层原理图 (4) 3.2系统各功能模块的实现 (5) 3.2.1 比赛控制模块 (5) 3.2.2 记分模块 (5) 3.2.3 数码管显示模块 (6) 4 各个模块的仿真以及系统仿真、分析 (7) 4.1比赛控制模块仿真波形 (7) 4.2记分模块仿真波形图 (8) 4.3管脚锁定 (9) 4.4系统的波形仿真 (9) 4.5显示结果的几种情况 (12) 5 总结 (13) 5.1设计制作过程中遇到的问题及解决方案 (13) 5.2本设计有以下几个可以改进的地方 (13) 参考文献................................................................................................................... 错误!未定义书签。附录 (13) 游戏控制模块的VHDL程序 (13) 记分模块的VHDL程序 (16) 动态扫描模块的VHDL程序 (17) 译码器模块的VHDL程序 (18)

1 引言 1.1 设计背景 1.2 VHDL简介 硬件描述语言已经有几十年的发展历史,并且在系统的仿真、验证和设计、综合等方面得到成功的应用。目前常用的硬件描述语言有VHDL、Verilog HDL、ABEL等[2][3][4]。VHDL则起源于20世纪70年代末和80年代初,美国国防部提出的VHSIC计划,目标是为下一代集成电路的生产、实践阶段性的工艺极限和完成10万门级以上的电路设计而建立一种新的描述方法[5]。VHDL的英文全称为Very-High-Speed Integrated Circuit Hardware Description Language,是IEEE 标准化的硬件描述语言,并且已经成为系统描述的国际公认标准,得到众多EDA 公司的支持。 VHDL具有很多的优点使它能够被大多数人认可,被广泛应用在逻辑电路的设计方面,并且成为了标准化的硬件描述语言,其优点如下: (1)功能强大和设计灵活。一个简洁的使用VHDL语言编写的程序就可以描述一个复杂的逻辑电路,因为VHDL拥有强大的语言结构[6]。VHDL多层次的设计描述功能可以有效地控制设计的实现,支持设计库和可重复使用的元件生成,还支持多种设计方式,如层次化设计、模块化设计和同步、异步和随机电路设计。 (2)与具体器件无关。用VHDL设计硬件电路时不用先确定设计要用到哪种器件,也不用特别熟悉器件的内部结构,这样可以使设计人员专注于进行系统设计。设计完成后,可以根据消耗的资源选择合适的器件,而不造成资源的浪费。 (3)很强的移植能力。VHDL由很多不同的工具支持,同一个设计的程序可以在包括综合工具、仿真工具、系统平台等工具中使用。 (4)强大的硬件描述能力。VHDL可以描述系统级电路和门级电路,而且描述方式多样,可以采用行为描述、寄存器传输描述或者结构描述,也可以用其混合描述方式。同时,VHDL可以准确地建立硬件电路模型,因为它支持惯性延迟和

拔河游戏机设计报告

数字逻辑综合性实验设计报告 课程名称数字逻辑实验 题目名称电子拔河游戏机 班级20120615 学号2012061518 学生姓名孙晓行 同组班级20120615 同组学号2012061517 同组姓名孙静 指导教师武俊鹏、孟昭林、刘书勇、赵国冬 页脚内容- 0 -

2014年06 月 页脚内容- 1 -

摘要 电子拔河游戏机是一种能容纳甲乙双方参赛或甲乙双方加裁判的三人游戏电路。由一排LED发光二极管表示拔河的“电子绳”。游戏双方各拥有一个比赛时使用的单脉冲按钮,参与者按动一次按钮就产生一个脉冲,谁按的频率快产生的脉冲就多,由发光的LED灯的左右偏移模拟拔河过程,LED灯的偏移方向和位移由比赛双方所给出的脉冲数实时决定,该功能需要用计数电路通过加减计数来实现。当移动到某方的最后一个LED灯时,则该方获胜,连续比赛多局以定胜负。 此次设计的电路,主要分为四部分:控制电路部分;计数电路部分;电子绳电路部分;计分器电路部分。 其中控制电路部分主要由2个JK触发器和一个锁存器构成;计数电路主要由2个74LS192组成;电子绳电路由3个74LS138译码器,17个74LS04和17盏灯组成;计分器电路由两个74LS161计数器构成。 关键词:“拔河”;开关;脉冲;LED灯;左右偏移;计分电路 页脚内容- 2 -

目录 1 需求分析 ......................................................................................................................................... - 6 - 1.1 基本功能要求 ....................................................................................................................... - 6 - 1.2 创新拓展功能....................................................................................................................... - 6 - 1.3 设计原理 .............................................................................................................................. - 7 - 2 系统设计......................................................................................................................................... - 8 - 2.1 系统逻辑结构设计 ............................................................................................................... - 8 - 页脚内容- 3 -

基于VHDL的乒乓球游戏机设计

1引言 EDA(Electronic Design Automation,电子设计自动化)技术是现代电子工程领域的一门新技术。它提供了基于计算机和信息技术的电路系统设计方法。EDA技术的发张和推广应用极大地推动了电子工业的发展。EDA技术就是以计算机为工具,在EDA软件平台上,对以硬件描述语言VHDL为系统逻辑描述手段完成的设计文件自动地完成逻辑编译,逻辑化简,逻辑分割,逻辑综合及优化,逻辑布局布线,逻辑仿真,直至对于特定目标芯片的适配编译,逻辑映射和编程下载等工作。设计者的工作仅限于利用软件的方式,即利用硬件描述语言来完成对系统硬件功能的描述,在EDA工具的帮助下就可以得到最后的设计结果[1]。近年来,集成电路制造技术的快速发展, 一方面促进了相应设计技术的发展, 另一方面也对设计技术提出了更高的要求。当前集成电路设计, 面临着功能强、性能好、规模大、成本低、设计周期短等一系列要求和挑战, 这些要求和挑战引起了集成电路设计方法的全面革新。当今, 以行为设计为主要标志的新一代数字系统设计理论已形成并得到发展。在集成电路的数字系统的系统级设计中, VHDL 硬件描述语言构造的描述模型优化设计, 有利于高效利用设计空间, 实现设计结构的精确分析, 使芯片资源得以充分利用。 1.1课题的背景、目的 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。而休闲时间越来越少的人们越来越没太多的时间出去搞户外活动,于是众多电子游戏相应而出,成为大家的娱乐节目。因此设计了这个两人的乒乓球游戏。而电子信息类产品的开发明显地出现了两个特点:一是开发产品的复杂程度加深;二是开发产品的上市时限紧迫。而伴随着如上两个特点的产生,相应的出现了设计上的两个问题。其一,在电子系统日趋数字化、复杂化和大规模集成化的今天,电子厂商们越加迫切地追求电子产品的高功能、优品质、低成本、微功耗和微小封装尺寸,从而使得电子设计日趋复杂。那么如何去完成这些高复杂度的电子设计呢?其二,电子产品设计周期短和上市快是电子厂商们坚持不懈的追求,那么面对日趋复杂的设计,又如何能够缩短开发时间呢?解决以上两个问题的唯一途径是电子设计自动化(EDA),即用计算机帮助设计人员完成繁琐的设计工作。

数电课程设计乒乓球比赛word文档

乒乓球比赛游戏机 一、设计任务与要求 1 课题目的及意义 本次课程设计的内容是独立完成一个乒乓球比赛游戏机的设计,采用EWB 电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试,在微机上仿真实现乒乓球比赛游戏机的设计。通过这次课程设计让我们了解和熟悉了乒乓球游戏机的原理和Multisim仿真设计软件的操作,也让我们加深了解了对双向移位寄存器、双D触发器及、加法器及逻辑门电路的一些实际用途,并将理论与实践相结合。 2 课题的内容和要求 设计一个甲、乙双方参赛,裁判参与的乒乓球比赛游戏模拟机。 基本要求: (1)用8个发光二极管排成一条直线,以中点为界,两边各代表参赛双方的位置,其中点亮的发光二极管代表“乒乓球”的当前位置,点亮的发光二极管依次由左向右或由右向左移动。 (2)当球运动到某方的最后一位时,参赛者应立即按下自己一方的按钮,即表示击球,若击中,则“球”向相反方向运动,若未击中,则对方得1分。(3)设置自动计分电路,双方各用二位数码管来显示计分,每局11分。到达11分时产生报警信号。 提高要求: (4)一方得分时,电路自动响铃3s,这期间发球无效,等铃声停止后方能继续比赛。 (5)设置局数显示,5局结束后有声响提示比赛结束。 课题任务要求 1、画出总体设计框图,以说明乒乓球比赛游戏机由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向和频率变化。并以文字对原理作辅助说明。

2、设计各个功能模块的电路图,加上原理说明。 3、选择合适的元器件,在EWB上连接验证、仿真、调试各个功能模块的电路。在连接验证时设计、选择合适的输入信号和输出方式,在充分电路正确性同时,输入信号和输出方式要便于电路的仿真、调试和故障排除。 4、在验证各个功能模块基础上,对整个电路的元器件和连接,进行合理布局,进行整个数字钟电路的连接验证、仿真、调试。 二、方案设计与论证 根据设计任务,对照图乒乓球比赛模拟及1.1,可以分为三个模块进行设计: 1. 球台电路:球迹移动电路可采用双向移位寄存器方法实现,由发光二极管作光点模拟乒乓球移动的轨迹。 2. 驱动控制电路:由双D触发器及逻辑门电路构成,通过此电路来控制并且实现球台灯的左右移位即实现乒乓球的运动。 3. 计分电路:使用十进制的计数器、逻辑门和集成的4管脚的数码管来组成计分电路。 通过多次设计、画图及仿真实验,我们发现方案电路最简洁,原理简单易懂,操作也很方便,且实用性较强。故采用此方案进行设计。 三、单元电路设计

电子拔河游戏机

1.设计目的 加强学生对数字电子技术专业知识的理解和掌握,训练并提高其在理论计算、电路设计、资料文献查阅、运用相关标准与规范、电路仿真等方面的能力;为毕业设计(论文)奠定良好的基础。 2.设计任务 2.1设计指标 此次设计的电子拔河游戏机是模拟拔河比赛的电子游戏机,比赛开始的时候,只有当裁判下达指令时双方才可以输入信号,电路具有自己锁定的功能,在未下达命令前,电路不能开始运行。比赛开始后,双方通过控制按键进行比赛,使亮色的LED灯管移向自己的那一方。哪一方的速度按键越快,那么哪一方就会占优势,直到发亮的LED灯管移到自己的终点那一端,即为获胜方,此时电路自动锁定,双方按键无效,显示管显示比赛的结果数字。若循环比赛,则依次循环以上过程。 2.2设计要求 (1)拔河游戏机用9个电平指示灯排列成一行,开机后只有中间一个点亮,以此作为拔河的中心线。(2)游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。 (3)移到任一方终端指示灯点亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。 (4)最后,显示器显示胜者的盘数。 3.电子拔河游戏机的组成和工作原理 3.1电子拔河游戏机的构成 本次设计中共包含六个分支,分别是:整形电路,编码电路,译码电路,控制电路,胜负显示电路以及复位电路构成。 3.2原理分析 比赛两人通过开关产生脉冲,经过整形电路后接74LS192同步十进制可逆计数器,经74LS192同步二进制可逆计数器对信号进行加减后接译码电路,译码电路译码后显示到电平显示器上。再把胜负电路接到两头最边的电平显示灯上,胜负电路收到胜负信号后显示胜负次数。当比赛结束后由复位控制对显示胜负装置和电平显示灯回复到初始状态。这样就达到了设计目的和要求。 (1)整形电路:由与门74LS08和与非门74LS00构成。CP脉冲加到5脚和4脚控制加和减的,当加法计数时,减法输入端CPD接高电平;减法计数时,加法输入端CPU接高电平,但如果Q、W键产生的脉冲直接加上去,很多情况下在进行计数输入时另一计数输入端为低电平,计数器不能计数,双方按键均失去作

电子技术乒乓球比赛游戏机课程设计模板

电子技术乒乓球比赛游戏机课程设计

1绪论 1.1选题背景 1.1.1 课题目的及意义 本次课程设计的内容是独立完成一个乒乓球比赛游戏机的设计, 采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试, 在微机上仿真实现乒乓球比赛游戏机的设计。经过这次课程设计让我们了解和熟悉了乒乓球游戏机的原理和Multisim仿真设计软件的操作, 也让我们加深了解了对双向移位寄存器、双D触发器及、加法器及逻辑门电路的一些实际用途, 并将理论与实践相结合。 1.1.2 课题的内容和要求 独立完成一个乒乓球比赛游戏机的设计, 采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试, 在微机上仿真实现乒乓球比赛游戏机的设计。 课程设计具体内容如下: 乒乓球比赛是由甲乙双方参赛, 加上 二极管

设计要求: 1、基本部分 (1) 至少用8个LED排成直线, 以中点为界, 两边各代表参赛双方的位置, 其中一个点亮的LED( 乒乓球) 依次从左到右, 或从由到左移动, ”球”的移动速度能由时钟电路调节。 (2) 当球( 被点亮的那只LED) 移动到某方的最后一位时, 参赛者应该果断按下自己的按扭使”球”转向, 即表示启动球拍击中, 若行动迟缓或超前, 表示未击中或违规, 则对方得一分。 (3) 设计自动记分电路, 甲乙双方各用一位数码管显示得分, 每记满9分为一局。 2、发挥部分(选做) (1) 甲乙双方各设一个发光二极管表示拥有发球权, 每得5分自动交换发球权, 拥有发球权的一方发球才能有效。 (2) 发球次数能由一位数码管显示。 (3) 一方得分, 电路自动响铃3秒, 此期间发球无效, 等铃声停止后方可比赛。 课题任务要求 1、画出总体设计框图, 以说明乒乓球比赛游戏机由哪些相对独立

电子拔河游戏机设计

电子技术课程设计说明书 课程名称:电子技术课程设计题目:电子拔河游戏机设计 课程设计任务书 一、设计题目 拔河游戏机 二、主要内容及要求 1.设计一个模拟拔河游戏比赛的逻辑电路。 2.电路使用15个发光二极管,开机后只有在拔河绳子中间的发光二极亮。 3.比赛双方各持一个按钮,快速不断地按动按钮,产生脉冲,谁按得快,发光的二极管就向谁的方向移动,每按一次,发光二极管移动一位。 4.亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都应无作用,状态保持,只有当裁判按动复位后,在拔河绳子中间的发光 二极管重新亮。 5.用七段数码管显示双方的获胜盘数。 三、进度安排 1.认真思考和理解所选题目的有关要求,大致知道要求做什么。 2.根据实验的设计要求,到图书馆或上网查找相关的资料,了解拔河游戏 机的工作原理。 3.学习数字电路中触发器、计数器、译码显示器等单元电路的设计及综合 应用,掌握逻辑电路的设计与测试方法,等。 4.综合相关的资料,设计实验方案。 5.根据所设计方案,用仿真软件进行电路仿真。 6.根据要求撰写实验报告。 四、总评成绩

指导教师 学生签名 目录 一.设计任务分析 (1) 二.拔河游戏机的总体方案设计 (1) 2.1设计思路 (1) 2.2电路原理图 (1) 2.3电路工作原理 (3) 2.4各单元电路的设计 (3) 三.元器件的使用 (6) 四.总结 (7) 4.1实验评价 (7) 4.2心得体会 (8) 参考文献 (8) 附录 (8)

一、设计任务分析 本课题的主要任务是让拔河游戏机的电平指示灯由中点向我方延伸,而阻止其向对方延伸。可以设想用可预置的加/减计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向甲方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向移动。当移动到一方的终点就就把电路锁定,此时双方按键均无作用,只有裁判员按了复位按键双方才能继续下一盘的比赛,而计数器就记录双方的获胜的次数。 二、总体方案设计 2.1 设计思路: (1)本课题所设计的拔河游戏机由15个电平指示灯排列成一行,开 机之后只有中间一个电平指示灯亮,以此作为拔河的中心线,游戏双方 各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方 向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一 方就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使 亮点恢复到中心线。 (2)当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲 信号的作用.即实现电路自锁,使加家减脉冲无效。同时,使计分电路自动

课程设计——拔河游戏机

课程设计任务书 题目拔河游戏控制电路 专业学号姓名 主要内容、基本要求、主要参考资料等: 主要内容 * 1.阅读相关科技文献。 2.学习protel软件的使用。 3.学会整理和总结设计文档报告。 4.学习如何查找器件手册及相关参数。 技术要求 1.< 2.要求电路使用9个发光二极管显示拔河过程,开机后只有中间一个发光二极管发光,即为拔河 中心; 3.游戏双方各持一个按钮,按钮每按下一次,亮点向本方移动一次,拔河过程中有且只有一个发 光二极管发光; 4.亮点移动到任意一段的终端二极管时,此方获胜,并且此时双方按钮均无作用,输出状态保持, 复位后亮点回到中心点。 主要参考资料 1.何小艇,电子系统设计,浙江大学出版社,2001年6月; ( 2.姚福安,电子电路设计与实践,山东科学技术出版社,2001年10月; 3.王澄非,电路与数字逻辑设计实践,东南大学出版社,1999年10月;

4.李银华,电子线路设计指导,北京航空航天大学出版社,2005年6月;5.康华光,电子技术基础,高教出版社,2003。 } 完成期限: 2011年6月28日 指导教师签章: 专业负责人签章: 2011年6月27日

摘要 》 本课题的主要任务是让拔河游戏控制电路的电平指示灯由中点向己方延伸,而阻止其向对方延伸。可以设想用可预置的加/减计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向甲方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向延伸。当延伸到一方的终点就就把电路锁定,此时双方按键均无作用,只有裁判员按了复位按键双方才能继续下一盘的比赛。 此电路可分为脉冲发生器电路和计数/译码器电路两大部分。脉冲发生器电路部分采用两个与非门组成的基本RS触发器构成的去抖电路以及有与门、与非门构成的整形电路,可以将按钮A、B产生的脉冲整形成占空比较高的信号。计数器电路部分以74LS/HC193为主体,译码器采用由集成芯片74HC138扩展的4线-16线译码器。芯片74LS/HC193根据UP端和DOWN端的状态来判断进行加计数还是减计数,然后将计数结果输出到由74HC138构成的译码器,译码器将结果输出到发光二极管。由于74HC138输出端为低电平,所以当双方终端二极管对应的输出端有一个为低时即表示游戏结束,双方按键失效。此功能实现可将74LS193的输出置数至输入端,使译码器的输出保持,将双方的端二极管对应的输出进行与运算,如果有一个为低则输出为低,再接低电平有效的置数端,实现游戏结束时按键无作用。 关键字:去抖电路 74LS138 反馈置数 &

数电课程设计基于Multisim的乒乓球游戏机控制电路设计

课程设计(论文) 课程名称:数字电子技术基础 题目:基于Multisim的乒乓球游戏机控制电路设计院(系): 专业班级: 姓名: 学号: 指导教师:

任务书 设计题目:基于Multisim乒乓球游戏机的控制设计电路 课题目的: 该乒乓球游戏机电路主要由3块组成:球台驱动电路,控制电路和计分电路组成。其中球台电路主要实现游戏者击球完毕后球的左右移动显示位置功能;控制电路实现游戏者A和B击球,裁判对系统初始化的功能;计分电路具有当A或B击球有效时加分和当游戏者的分数累计超过10时报警通知裁判对系统初始化以便重新开始比赛计分功能。 课题主要内容与要求: 内容:本课题设计一个以8个二极管的依次被点亮代表球的移动位置双向选择开关J2,J3控制发球,击球信号,在Multisim软件上测试结果。 要求:1、熟悉Multisim软件 2、用8个发光二极管表示球,用俩个按钮分别表示AB俩个球员的球拍; 3、A,B各有一个数码管计分。 4、裁判有一个按钮,用来对系统初始化,每次得分后按下一次。

摘要 乒乓球游戏机通过十分巧妙地设计采用数字芯片实现乒乓球左右移动,选手击球得分,累计得分超10报警灯功能。该设计三个双向开关J1,J2,J3分别作为裁判和游戏者A,B,且选手可以译码显示器上直接读出自己的得分,具有操作简单,结构清晰的优点。 对与模电课题的研究离不开电路图,不过现在都在实行电子化,所以需要借助电子产品。Multisim软件就是一款画电路图的电子软件,在此对不太熟悉或未接触过Multisim软件的朋友简短的介绍下: Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。同时具备可以根据自己的需求制造出真正属于自己的仪器;所有的虚拟信号都可以通过计算机输出到实际的硬件电路上;所有硬件电路产生的结果都可以输回到计算机中进行处理和分析等特点。该乒乓球游戏机电路主要有3块电路:台球驱动电路,控制电路和计分电路组成。其中台球驱动电路主要实现游戏者击球完毕后球的左右移动显示位置功能;控制电路实现游戏者A和B击球,裁判对系统初始化的功能;

电子拔河游戏机 实验报告

电子技术综合实验 设计报告 设计题目:电子拔河游戏机 专业班级: 学生: 学号: 指导教师:

一、设计任务 电子拔河游戏机是一种能容纳甲乙双方参赛或甲乙双方加裁判的三人游戏电路。由一排LED发光二极管表示拔河的电子绳。由甲、乙二人通过按钮开关使发光的LED管向自己一方的终点移动,当亮点移到任何一方的终点时,则该方获胜,连续比赛多局以定胜负。 二、设计要求 1)由裁判下达比赛开始命令后,甲乙双方才能输入信号,否则,由于电路具有自锁功能,使输入信号无效。 2)电子绳由17个LED管构成,裁判下达比赛开始命令后,位于电子绳中点的LED管发亮。甲、乙二人通过按钮开关使发光的管向自己一方的终点移动,并阻止其向对方延伸。当点亮的LED管到达某一方的终点时,该方获胜。此时通过自锁功能锁定电路,使输入不再有效,必须由裁判再次发出比赛开始命令时方能开始下一次比赛。 3)某方赢一次,有计分电路自动给该方加1分,通过多次比赛以定胜负。 三、总体方案设计 本方案中,有效输入信号代表的是拔河的力度的相对大小。则当A=1,B=0时,表示甲的力度比乙的力度大;当B=1,A=0时,表示乙的力度比甲的力度大;当A=1,且B=1时,表示甲的力度与乙的力度一样大;当A=0且B=0时,表示甲、乙均还未开始拔河。 当裁判员下达比赛开始命令后,比赛开始,甲、乙中的任意一方输入有效信号后,计数器开始计数。若甲输入有消信号时,进行加计数;若乙输入有效信号时,进行减计数。电子绳的LED开始移动;当甲、乙同时输入有效信号时,电子绳上点亮的LED灯不再移动。 当一局比赛结束后,电子绳上某一头的LED保持亮,此时,甲、乙的输入信号不再有效,计分电路此时记录并显示甲、乙总共的比赛成绩。当裁判下达下一局比赛开始的命令后,比赛才能开始,甲、乙输入信号才有效。 基本实验原理图如下:

拔河游戏数字电路设计

一、实验目的: 1.学习数字电路中基本D触发器、计数、译码显示等单元电路的综合应用。 2.熟悉拔河游戏机的工作原理。 3.复习数字电路中D触发器、4线—16线译码器、计数器、译码显示器等部 分内容。 4.分析拔河游戏机组成、各部分功能及工作原理。查出各芯片引脚排列及功 能。 二、实验器件: 1个CC4514 4线—16线译码器 1个74LS191 双向加减计数器 2个74LS160 十进制加法计数器 3个与门、2个或门、2个非门 2个D触发器 三、设计任务与要求: (1)设计思路 拔河游戏机共有15个发光二级管,开机后只有中间一个发亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地,不断地按动以产生脉冲,谁按的快,亮点向谁方向移动,每按一次,亮点移动一次,移到任一方终端二极管发亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。 用七段数码管显示胜者取胜的盘数。 (2)任务与要求: 1.设计一个模拟拔河游戏比赛的逻辑电路。 2.电路使用15个发光二极管,开机后只有在拔河绳子中间的发光二极管亮。 3.比赛双方各持一个按钮,快速不断地按动按钮产生脉冲,谁按得快,发光的二极管 4.就向谁的方向移动,每按一次,发光二极管移动一位。 5.亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都 应无作用,状态保持,只有当栽判按动复位后,在拔河绳子中间的发光二极管重新亮。 6.用七段数码管显示双方的获胜盘数。 7.根据设计要求合理选择方案。

四、总体设计方案: (1)设计思路 1.设计的主要任务是控制“电子绳”发亮的LED管由中点向速度快的一方移动,而阻止向另一方移动。用可预制的加/减计数器作主要器件,用计数器的输出状态通过译码器控制LED发亮。当向计数器输入“加脉冲” 时,使其作加运算而发亮的LED向增大的一方移动,相反,当输入“减脉冲”时,发亮的LED向相反的方向移动。 2.当一局比赛结束,即发亮的LED移动某一方的终点时,由点亮该终点灯的信号使电路封锁加/减脉冲信号的作用,既实现电路的自锁,使加/减脉冲无效。同时,使电路自动加分。 3.控制电路部分应能够控制由振荡器产生的脉冲信号进入计数器的加/减脉冲输入端,其进入方向由参赛双方输入的按键信号决定。 (2)电路设计原理 拔河游戏机用15个电平指示灯排列成一行,开机后只有中间一个点亮,有以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。最后,显示器显示胜者的盘数。 图1电子拔河游戏机原理框图

乒乓球比赛游戏机设计总结报告

现代电子与系统设计总结报告 项目名称:乒乓球比赛游戏机 班级:物科院1005 姓名:周** 沈** 学号:071005** 071005** 指导老师:倪** 提交日期:2012/12/23

封面 (1) 一、设计要求 (3) 二、设计的具体实现 (3) 1、系统框图 (3) 2、甲乙方得分显示模块 (4) 3、加减计数模块 (6) 4、译码模块 (8) 5、控制模块 (9) 6、核心问题 (12)

三、结果分析 (15) 四、附件 (16) 1、完整电路图 (16) 2、各个自制元件的VHDL程序 (16) 一、设计要求 设计一个乒乓球比赛游戏机 (1)设计一个由甲乙双方参加,有裁判的三人乒乓球游戏机; (2)用8个(或更多个)LED排成一条直线,以中点为界,两边各代表参赛双方的位置,期中一只点亮的LED指示球的当前位置,点亮的LED依次从左到右,或从右到左,其移动的速度应能调节; (3)当“球”(点亮的那支LED)运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关,即表示启动球拍击球,若击中则球向相反方向移动,若未击中,球掉出桌外,则对方得一分; (4)设计自动计分电路,甲乙双方各用两位数码管进行计分显示,每记满11分为1局;(5)甲乙双方各设一个发光二极管表示拥有发球权,每隔2次自动交换发球权,拥有发球权的一方发球才有效;

(6)其他。 二、设计的具体实现 1、系统框图 此系统框图分为控制模块,加/减计数模块,译码显示模块和甲乙方得分显示模块。 2、甲乙方得分显示模块 甲乙双方各用两位数码管进行计分显示,通过控制模块加以控制。 甲乙得分的计数: 图形: VHDL语言: LIBRARY ieee; USE ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;

拔河游戏机课程设计实验报告

课程设计说明书 课程名称:数字电子技术课程设计题目:拔河游戏机 班级: 姓名: 学号: 同组人:

设计任务书 一、设计题目 拔河游戏机 二、主要内容及要求 1.设计一个模拟拔河游戏比赛的逻辑电路。 2.电路使用15个发光二极管,开机后只有在拔河绳子中间的发光二极亮。 3.比赛双方各持一个按钮,快速不断地按动按钮,产生脉冲,谁按得快,发光的二极管就向谁的方向移动,每按一次,发光二极管移动一位。 4.亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都应无作用,状态保持,只有当裁判按动复位后,在拔河绳子中间的发光二极管重新亮。 5.用七段数码管显示双方的获胜盘数。 三、进度安排 1.认真思考和理解所选题目的有关要求,大致知道要求做什么。 2.根据实验的设计要求,到图书馆或上网查找相关的资料,了解拔河游戏机的工作原理。 3.学习数字电路中触发器、计数器、译码显示器等单元电路的设计及综合应用,掌握逻辑电路的设计与测试方法,等。 4.综合相关的资料,设计实验方案。 5.根据所设计方案,用仿真软件进行电路仿真。 6.根据要求撰写实验报告。 设计过程 一、设计任务分析 本课题的主要任务是让拔河游戏机的电平指示灯由中点向我方延伸,而阻止其向对方延伸。可以设想用可预置的加/减计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向甲方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向移动。当移动到一方的终点就就把电路锁定,此时双方按键均无作用,只有裁判员按了复位按键双方才能继续下一盘的比赛,而计数器就记录双方的获胜的次数。 二、总体方案设计 2.1 设计思路: (1)本课题所设计的拔河游戏机由15个电平指示灯排列成一行,开机之后只有中间一个电平指示灯亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使亮点恢复到中心线。 (2)当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲信号的作用.即实现电路自锁,使加家减脉冲无效。同时,使计分电路自动加分。 (3)控制电路部分应能控制由振荡器产生的脉冲信号进入计数器的加减脉冲的输入端,其进入方向则由参赛双方的按键信号决定。

相关主题
文本预览
相关文档 最新文档