当前位置:文档之家› 花样流水灯设计

花样流水灯设计

花样流水灯设计

单片机课程设计

课程题目院系电气工程及其自动化系专业班级

学生姓名学生学号2022年6月15日

指导教师

一丶任务

设计一款以AT89C51单片机作为主控核心,按键控制电路、流水灯显示电路以及单片机最小系统等模块组成的核心主控制电路。

二丶设计要求

通过发光二极管显示不同的花样(至少有六种花样),并且可以通过按键来控制流水灯的速度。

三丶设计方案

本方案主要是通过对基于单片机的多控制、多闪烁方式的LED流水灯循环系统的设计,来达到本设计的要求。其硬件构成框图如下图所示,以单片机为核心控制,由单片机最小系统(时钟电路、复位电路、电源)、按键控制电路、LED发光二极管和5V直流电源组成。

单片机流水灯循环控制系统硬件框图此设计方案中单片机的P1口接5路按键控制电路,实现流水灯花型的切换功能;单片机的P3.7引脚接上一个按钮开关以实现对流水灯闪烁频率的控制,即实现了快慢两种节拍实现花型的变换;单片机上的P2口接八路LED发光二极管组成流水灯电路,显示流水灯循环情况。

四丶系统硬件设计

4.1直流稳压电源电路

对于一个完整的电子设计来讲,首要问题就是为整个系统提供电源供

电模块,电源电路的稳定可靠是系统平稳运行的前提和基础。电子设备除

用电池供电外,还采用市电(交流电网)供电。通过变压、整流、滤波和

稳压后,得到稳定的直流电。直流稳压电源是电子设备的重要组成部分。

本项目直流稳压电源为+5V。

直流稳压电源的制作一般有3种制作形式,分别是分立元件构成的稳

压电源、线性集成稳压电源和开关稳压电源。下图为稳压电源采用的是三

端集成稳压器7805构成的正5V直流电源。

三端固定式集成稳压电源电路图

AT89C51单片机的工作电压范围:4.0V---5.5V,所以通常给单片机

外接5V直流电源。此处用3节1.5V的干电池供电。

4.2单片机最小系统

要使单片机工作起来,最基本的电路的构成由单片机、时钟电路、复

位电路等组成,单片机最小系统如图所示。

时钟电路:本系统采用单片机内部方式产生时钟信号,用于外接一个12MHz石英晶体振荡器和2个30pF微调电容,构成稳定的的自激振荡器,其发出的脉冲直接送入内部的时钟电路。

复位电路:确定单片机工作的起始状态,完成单片机的启动过程。单

片机系统的复位方式有上电自动复位和手动按键复位。本设计采用手动按

键复位,该复位方式同样具有上电自动复位功能。

单片机最小系统

4.3LED电路

LED流水灯显示电路

LED流水灯显示电路如图所示,实际上是由8个发光二极管和8个电

阻构成的电路。发光二极管与电阻对应串联,然后接在与之相对应的P2口上。通过软件编程对P2口输出高低电平来实现不同的闪烁花型。由于发

光二极管的导通电压一般为1.7V以上,另外,他的工作电流根据型号不

同一般为1mA到30mA,电阻选择范围100Ω—3000Ω在此我们这里选用

560Ω的电阻。

4.4按键控制电路

按键控制电路如下图所示,是由6个按键开关构成的。他们分别接在

单片机AT89C51的P1接口和P3.0口,Key1---Key5接在P1.0---

P1.4,Key6接在P3.7上。为了一对一的控制LED灯的闪烁方式。当按下

开关Key1时,LED流水灯系统闪烁第一种流水灯花型。当按下开关Key2

时,LED灯系统闪烁第二种闪烁方式。当闭合Key6时,流水灯闪烁节拍变慢。

键盘控制电路

4.5串口电路

串口也称串行通信接口,RS-232是目前最常用的一种串行通讯接口,由于其形状和针脚数量的原因,其接头又被称为DB9接头。RS-232针脚

定义:2R某D接收数据、3T某D发送数据、5GND系统接地,一般就用到

这几个引脚。

串口原理图

MA某232芯片是专门为电脑的RS-232标准串口设计的单电源电平转

换芯片,使用+5v单电源供电。

MA某23内部结构基本可分三个部分:

第一部分是电荷泵电路:由1、2、3、4、5、6脚和4只电容构成,

功能是产生+12v和-12v两个电源,提供给RS-232串口电平的需要。

第二部分是数据转换通道:由7、8、9、10、11、12、13、14脚构成

两个数据通道,其中13脚(R1IN)、12脚(R1OUT)、11脚(T1IN)、

14脚(T1OUT)为第一数据通道,8脚(R2IN)、9脚(R2OUT)、10脚

(T2IN)、7脚(T2OUT)为第二数据通道。TTL/CMOS数据从T1IN、T2IN

输入转换成RS-232数据从T1OUT、T2OUT送到电脑DP9插头;DP9插头的RS-232数据从R1IN、R2IN输入转换成TTL/CMOS数据后从R1OUT、R2OUT

输出

第三部分是供电:15脚DNG、16脚VCC(+5v)。

五丶系统的软件设计

本设计是以单片机AT89C51为核心控制8个发光二极管5种闪烁方式

的变

换。硬件电路如图所示,八个发光二极管D1-D8分别接在单片机的

P2.0-P2.7接口上,当给P2.0口输出“0”时,发光二极管点亮,当输

出“1”时,发光二极管熄灭。可以运用输出端口指令MOVP0,A或MOVP0,#DATA,只要给累加器值或常数值,同理,接在P2.1~P2.7口的其他7

个LED的点亮和熄灭的方法同LED1。因此,要实现流水灯功能,只要将

发光二极管LED1~LED8依次点亮、熄灭,8只LED灯便会一亮一暗的成

流水灯了。在此还应注意一点,由于视觉暂留效应以及单片机执行每条指令的时间很短,在控制二极管亮灭的时候应该延时一段时间,否则我们就看不到闪烁效果。程序启动时跳转到键盘判断模块程序中,此程序里面包含Key1-Key5的按键情况判断,循环检测直到有按键按下的时候,程序转去相对应按键的流水灯显示的花型模块,与此同时,当按键Key6有闭合时,程序中调用延时程序时,给延时参数赋值上另一个值,是延时程序延时时间发生改变,以达到不同快慢节奏闪烁的流水灯。具体程序流程如下图所示。

六丶系统调试与测试结果分析

6.1系统仿真

本设计使用的KeilC51软件来进行编程。KeilC51提供了包括C编译器、宏汇编、连接器、库管理和一个功能强大的仿真调试器等在内的完整开发方案,通过一个集成开发环境将这些部份组合在一起。

Proteu的ISIS是一款Labcenter出品的电路分析实物仿真系统,可仿真各种电路和IC

,并支持单片机,元件库齐全,使用方便,是不可多得的专业的单片机软件仿真系统。仿真图如下:

6.2仿真调试

本系统的调试是把在Keil里面写好的程序通过编译不出现任何错误后将生成的后缀名为.HE某的文件加载到AT89C51单片机中。

测试结果及状态分析

经以上仿真上测试证实,能实现本设计系统要求的预期的功能。

结论

本方案以AT89C51单片机作为主控核心,按键控制电路、流水灯显示电路以及单片机最小系统等模块组成的核心主控制电路,利用软件编程烧录程序到单片机来实现对LED流水灯进行控制,与其他LED流水灯相比该电路结构简单、易操作、硬件少、体积小、成本低、低能耗等优点,其电路集成度高,工作原理简单,清晰明了,控制图案的花样多,移植性好。

附录1程序

主程序:

ORG0000H

LJMPMAINORG0040H

MAIN:MOVP1,#0FFHMOVA,P1CJNEA,#11111110b,S1LCALLK1S1:CJNEA,#1111 1101b,S2LCALLK2

S2:CJNEA,#11111011b,S3

LCALLK3S3:CJNEA,#11110111b,S4LCALLK4S4:CJNEA,#11101111b,MAIN LCALLK5LJMPMAIN

按键程序:K1:MOVA,#01111111bC1:RLAMOVP2,ALCALLDelayJNBP1.1,K2

JNBP1.2,K3JNBP1.3,K4JNBP1.4,ZLJMPC1K2:MOVP2,#0FEHLCALLDelayM OVP2,#0FCHLCALLDelayMOVP2,#0F8HLCALLDelayMOVP2,#0F0HLCALLDelayMO VP2,#0E0HLCALLDelayMOVP2,#0C0HLCALLDelayMOVP2,#80HLCALLDelayMOVP 2,#00HLCALLDelayMOVP2,#80HLCALLDelayMOVP2,#0C0HLCALLDelayMOVP2,# 0E0HLCALLDelayMOVP2,#0F0HLCALLDelayMOVP2,#0F8HLCALLDelayMOVP2,#0 FCHLCALLDelayMOVP2,#0FEHLCALLDelayMOVP2,#0FFHLCALLDelayLJMPMAINZ :LJMPK5K3:MOVP2,#55HLCALLDelay

MOVP2,#0AAH

LCALLDelay

MOVP2,#0FFHLJMPMAINK4:MOVP2,#01111110BLCALLDelay

MOVP2,#00111100BLCALLDelay

MOVP2,#00011000BLCALLDelay

MOVP2,#00000000BLCALLDelay

MOVP2,#10000001BLCALLDelay

MOVP2,#11000011BLCALLDelay

MOVP2,#11100111BLCALLDelay

MOVP2,#11111111BLCALLDelayLJMPMAINK5:MOVP2,#01111110BLCALLDe lay

MOVP2,#10111111BLCALLDelay

MOVP2,#01111110BLCALLDelay

MOVP2,#10011111BLCALLDelay

MOVP2,#01111110BLCALLDelay

MOVP2,#10001111BLCALLDelay

MOVP2,#01111110BLCALLDelay

MOVP2,#10000111BLCALLDelay

MOVP2,#01111110BLCALLDelay

MOVP2,#10000011BLCALLDelay

MOVP2,#01111110B

LCALLDelay

MOVP2,#10000001BLCALLDelayMOVP2,#00HLCALLDelayMOVP2,#0FFHLCA LLDelayMOVP2,#00HLCALLDelayMOVP2,#0FFHLCALLDelayLJMPMAIN延时程序:

Delay:JNBP3.0,D1

movr5,#01hLJMPD5D1:MOVr5,#04hD5:movr6,#0ffhD6:movr7,#0ffhD7: nopdjnzr7,D7djnzr6,D6djnzr5,D5ret

END

单片机花样流水灯设计实验报告

**大学 物理学院 单片机花样流水灯设计实验 课题:花样流水灯设计 班级: 物理 *** 姓名: *** 学号: ……………

当今时代的智能控制电子技术,给人们的生活带来了方便和舒适,而每到晚上五颜六色的霓虹灯则把我们的城市点缀得格外迷人,为人们生活增添了不少色彩。 制作流水灯的方法有很多种,有传统的分立元件,由数字逻辑电路构成的控制系统和单片机智能控制系统等。本设计介绍一种简单实用的单片机花样流水灯设计与制作,采用基于MS-51的单片机AT89C51和发光二极管、晶振、复位、电源等电路以及必要的软件组成的以AT89C51为核心,辅以简单的数码管等设备和必要的电路,设计了一款简易的流水灯电路板,并编写简单的程序,使其能够自动工作。 本设计用AT89C51单片机为核心自制一款简易的花样流水灯,并介绍了其软件编程仿真及电路焊接实现,在实践中体验单片机的自动控制功能。该设计具有实际意义,可以在广告业、媒体宣传、装饰业等领域得到广泛应用。 关键字:AT89C51 单片机流水灯数码管

1. 单片机及其发展概况 单片机又称为单片微计算机,其特点是将微型计算机的基本功能部件(如中央处理器(CPU)、存储器、输入接口、输出接口、定时/计数器及终端系统等)全部集成在一个半导体芯片上。单片机作为一种高集成度微型计算机,已经广泛应用于工业自动化控制、智能仪器仪表、通信设备、汽车电子与航空航天电子系统、智能家居电器等各个领域。 2. Protues仿真软件简介 Protues以其数量众多的元件数据库、标准化的仿真仪器、直观的捕获界面、简洁明了的操作、强大的分析测试、可信的测试结果, 为电子工程设计节约研发时间,节省了工程设计费用。利用Protues软件设计一款通过数码管显示计数时间的流水灯电路及Keil C软件编程后,再将两者关联则可以简单快速的进行仿真。 【实验设计目标】 设计要求以发光二极管作为发光器件,用单片机自动控制,对8个LED 灯设计至少3种流水灯显示方式,每隔20秒变换一次显示花样,计时通过一个二位七段数码管显示。

单片机课程设计花样流水灯

河南理工学院 课程设计说明书 题目:把戏流水灯设计 姓名: 院〔系〕: 专业班级: 学号: 指导教师: 成绩: 时间:2021 年 4 月23 日至2021 年5月29日

河南理工学院 课程设计任务书 题目: 把戏流水灯设计 专业、班级 学号 主要内容、根本要求、主要参考资料等: 主要内容: 利用单片机控制16个发光二极管模拟的彩灯按照一定的规律点亮、熄灭、闪烁。 根本要求: 1.实现发光二极管的多样化显示; 2.利用proteus软件完成设计电路和仿真; 3.掌握相关芯片和电子元件的使用方法; 4.通过此次设计将单片机软硬件结合起来对程序进行编辑、校验,锻炼实践能力和理论联系实际的能力。 主要参考资料: [1]李全利,单片机原理及接口技术[M],高等教育出版社 [2]王文杰,单片机应用技术[M],冶金工业出版社 [3]朱清慧,PROTEUS教程—电子线路设计、制版与仿真[M],清华大学出版社 [4]单片机实验指导书,天煌教仪 [5]彭伟,单片机C语言程序设计实训100例[M],电子工业出版社 完成期限:2021.4.23-2021.5.29 指导教师签名: 课程负责人签名: 年月日

目录 绪论 0 1 课程设计的目的和要求 (1) 1.1 设计目的 (1) 1.2 设计要求 (1) 2 总体设计 (1) 2.1 硬件总体设计 (1) 2.1.1 设计思想 (1) 2.1.2 原件清单 (1) 2.1.3 模块分析 (1) 2.2软件总体设计.................................................................................................... 错误!未定义书签。 3 硬件设计 (2) 3.1 AT89C51单片机的硬件结构 (2) 3.1.1主要特性 (3) 3.1.2主要引脚功能 (3) 3.1.3外部总线结构 (5) 3.1.4振荡器特性 (5) 3.1.5芯片擦除 (5) 3.2硬件电路设计 (6) 3.2.1震荡电路 (6) 3.2.2LED电路显示 (7) 3.2.3复位电路 (8) 4软件设计 (8) 4.1主程序设计 (8) 4.2子程序设计 (9) 4.3流水灯控制码程序设计 (9) 4.4程序流程图 (9) 5使用proteus软件调试仿真说明 (10) 5.1 Proteus的介绍 (10) 5.2 Proteus的特点 (10) 5.3 Proteus的使用方法 (10) 5.4电路原理图的设计方法 (11) 5.5仿真过程 (12) 6测试数据 (12) 7附录 (13) 7.1把戏流水灯程序 (13) 7.2把戏流水灯总线路图 (13) 结束语 (15) 参考文献 (15)

多按键花样流水灯课程设计报告书

前言 当单片微型计算机简称单片机〔single-chip microcomputer〕,又称为单片机微型控制器〔single-chip microcontroller〕,是由CPU、RAM、ROM、定时/计时器、I/O接口电路通过应刷电路板上的总线连成一体的完整计算机系统。[1]从1971年出现单片机的雏形开场,短短四十多年的时间里,单片机便社会各领域中得到了广泛的应用在流水灯控制系统中,单片机更是取代了由齿轮调节延迟时间的旧式控制系统,成为日后此系统中的核心局部。由于单片机具有一些突出的优点:体积小、重量轻、电源单一、功耗低;功能强、价格低;数据大都在单片机部传送,运行速度快、抗干扰能力强、可靠性高,所以单片机被广泛的应用于测控系统、数据采集、智能仪器仪表、机电一体化产品、智能接口、计算机通信以与单片机的多级系统等领域。 今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域,传统的分立元件或数字逻辑电路构成的控制系统,正以前所未见的速度被单片机智能控制系统所取代。目前,一个学习与应用单片机的高潮正在工厂、学校与企事业单位大规模地兴起。本设计用AT89C51单片机自制了一款简易的把戏流水灯,介绍了其硬件电路与软件编程方法,在实践中体验单片机的自动控制功能。该设计具有实际意义,可以在广告业、媒体宣传、装饰业等领域得到广泛应用。 学习单片机的最有效方法就是理论与实践并重,现在我把单片机流水灯设计作为一个课程设计,需要更深的去了解单片机的很多功能,努力的去查找资料。本课题将以发光二极管作为发光器件,用单片机自动控制,实现一个简易的把戏流水灯设计。

目录前言 第一章设计目的与要求- 3 - 1.1 设计目的- 3 - 1.2 设计要求- 3 - 1.2.1 课程设计要求- 3 - - 4 - 第二章方案设计- 4 - 2.1 设计任务分析- 4 - 2.2 方案比拟- 4 - 2.3 硬件系统的设计要求- 5 - - 5 - - 5 - 第三章主要元器件介绍- 6 - 3.1 AT89C51单片机介绍- 6 - - 6 - - 6 - 3.2 晶振电路- 8 - 3.2 LED发光二极管- 9 - 第四章硬件电路设计- 9 - 4.1 主控系统- 9 - 4.2 晶振时钟电路设计- 10 - 4.3 复位电路设计- 11 - 4.4 输入控制模块与中断控制模块设计- 11 - 4.5 输出控制模块设计- 12 - 第五章软件设计与主要子程序- 13 - 5.2 主程序流程图- 14 - 5.3 主程序- 15 - 5.4 中断子程序- 17 - 5.5 LIGHT1跑马灯子程序- 18 - 5.6 LIGHT2流水灯子程序- 18 - 5.7 LIGHT3戏水灯子程序- 18 - 5.8 延时子程序- 19 - 第六章系统仿真与调试- 19 - 6.1 Keil编译- 19 - 6.2 Protues仿真平台简介- 19 - 6.3 跑马灯仿真演示- 20 - 6.4 流水灯仿真演示- 22 - 6.5 戏水灯仿真演示- 23 - 6.6 顺序循环执行三种把戏灯- 24 - 第七章 PCB板生成- 24 - 7.1 PCB生成- 24 - 第八章课程设计总结- 25 -

单片机课程设计花样流水灯2

前言 随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯不断变化闪烁。LED灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一旦制作成品只能按照固定的模式闪亮,不能根据不同场合、不同时间段的需要来调节亮灯时间、模式、闪烁频率等动态参数。这种彩灯控制器结构往往有芯片过多、电路复杂、功率损耗大等缺点。此外从功能效果上看,亮灯模式少而且样式单调,缺乏用户可操作性,影响亮灯效果。因此有必要对现有的彩灯控制器进行改进。 流水灯是一串按一定的规律像流水一样连续闪亮。流水灯控制是可编程控制器的一个应用,其控制思想在工业控制技术领域也同样适用。流水灯控制可用多种方法实现,但对现代可编程控制器而言,利用移位寄存器实现最为便利。通常用左移寄存器实现灯的单方向移动;用双向移位寄存器实现灯的双向移动。本案例利用价格低廉的AT89C51系列单片机控制基色LED灯泡从而实现丰富的变化。 1、课程设计的目的和要求 1.1 设计目的 近年来随着科技的发展,单片机的应用正在不断走向深入,同时带动传统控制检测日新月异更新,在实时检测和自动控制的单片机应用系统中,单片机往往是作为一个核心部件来使用,单片机方面知识是不够的,还应根据具体硬件结构,以及针对具体应用对象点的软件结合,加以完善。流水灯,可以更简单、方便的使用。通过本课程设计使学生进一步巩固单片机原理及应用的基本概念、基本理论,分析问题的基本方法,增强系统地运用已学的理论知识解决实际问题的能力和查阅资料的能力。培养一定的自学能力和独立分析问题、解决问题的能力,能通过独立思考、查阅工具书、参考文献,寻找解决方案。

花样流水灯设计

一、原理图设计的目的: 利用AT89C51,通过控制按键来实现六种流水灯把戏的转换,实现把戏流水灯的设计,同时通过外部中断0,来控制流水灯的速度。 二、各器件的功能作用: 1、AT89C51 AT89C51有40个引脚,每个引脚都有其功能。本次设计中,利用P0口当输出口,输出低电平来驱动发光二极管点亮。利用P1.0~P1.5六个引脚,通过按键接地,然后采用扫描的方式,判断哪个引脚所接按键按下,从而来控制六种流水灯的把戏。利用P3.2引脚外接按键接地,通过控制按键来减慢流水灯的速度,利用P3.3引脚外接按键接地,通过控制按键来提高流水灯的速度。利用P3.7输出低电平,导通三极管Q1,从而给八个发光二极管的阳极加高电平,一旦P0口输出低电平就可以驱动发光二极管。 2、八个发光二极管: 通过八个发光二极管来实现流水灯的变化,用低电平驱动发光二级管亮,同时,用高电平使其熄灭 。 3、按键 通过P1.0-P1.5外接的按键来实现流水灯各种把戏的变化,当按键按下时,驱动一种流水灯把戏的闪烁。同时,利用按键来提供外部中断,当按下按键时,产生一个外部中断,向CPU申请中断,CPU响应其中断,因此可以用按键来实现提高流水灯闪烁的速度。

通过在RST口处加上一个按钮手动复位电路,利用复位按钮可以使运行中的流水灯复位到初始的状态。 4、排阻 因为P0口作为输出口时需要外接上拉电阻 三、设计原理图: 四、程序如下: #include //51系列单片机定义文件 #define uchar unsigned char //定义无符号字符 #define uint unsigned int //定义无符号整数 void delay(uint);//声明延时函数 void main(void) { uint i; uchar temp; TCON=0*05; IE=0*85; P*1=1; P*0=0; while(1) { temp=0*01; for(i=0;i<8;i++) //8个流水灯逐个闪动 { P0=temp; delay(100);//调用延时函数 temp<<=1; } temp=0*80; for(i=0;i<8;i++) //8个流水灯反向逐个闪动 { P0=temp; delay(150);//调用延时函数 temp>>=1; } temp=0*FE; for(i=0;i<8;i++) //8个流水灯依次全部点亮 { P0=temp;

单片机花样流水灯设计实验报告

单片机花样流水灯设计实验报告 * * 大学 物理学院 单片机花样流水灯设计实验 课题: 花样流水灯设计班级: 物理 *** 姓名: *** 学号: 【摘要】 当今时代的智能控制电子技术,给人们的生活带来了方便和舒适,而每到晚上五颜六色的霓虹灯则把我们的城市点缀得格外迷人,为人们生活增添了不少色彩。 制作流水灯的方法有很多种,有传统的分立元件,由数字逻辑电路构成的控制系统和单片机智能控制系统等。本设计介绍一种简单实用的单片机花样流水灯设计与制作,采用基于MS-51的单片机AT89C51和发光二极管、晶振、复位、电源等电路以及必要的软件组成的以AT89C51为核心,辅以简单的数码管等设备和必要的电路,设计了一款简易的流水灯电路板,并编写简单的程序,使其能够自动工作。 本设计用AT89C51单片机为核心自制一款简易的花样流水灯,并介绍了其软件编程仿真及电路焊接实现,在实践中体验单片机的自动控制功能。该设计具有实际意义,可以在广告业、媒体宣传、装饰业等领域得到广泛应用。 关键字:AT89C51 单片机流水灯数码管 2 【概述】 1. 单片机及其发展概况 单片机又称为单片微计算机,其特点是将微型计算机的基本功能部件(如中央处理器(CPU)、存储器、输入接口、输出接口、定时/计数器及终端系统等)全部集

成在一个半导体芯片上。单片机作为一种高集成度微型计算机,已经广泛应用于工业自动化控制、智能仪器仪表、通信设备、汽车电子与航空航天电子系统、智能家居电器等各个领域。 2. Protues仿真软件简介 Protues以其数量众多的元件数据库、标准化的仿真仪器、直观的捕获界面、简洁明了的操作、强大的分析测试、可信的测试结果, 为电子工程设计节约研发时间,节省了工程设计费用。利用Protues软件设计一款通过数码管显示计数时间的流水灯电路及Keil C软件编程后,再将两者关联则可以简单快速的进行仿真。 【实验设计目标】 设计要求以发光二极管作为发光器件,用单片机自动控制,对8个LED灯设计至少3种流水灯显示方式,每隔20秒变换一次显示花样,计时通过一个二位七段数码管显示。 3 【设计方案】 1.设计原理 AT89C51单片机内部包括微处理器、存储器(存放程序指令或数据的 ROM、RAM等)、输入/输出口(I/O口)及其他功能部件如定时/计数器、中断系统等。它们通过地址总线、数据总线和控制总线连接起来。 要实现流水灯功能,只要将发光二极管Led1,Led8依次点亮、熄灭,8只LED 灯便会一亮一暗的做流水灯状,设计花样时可依此类推。此外还应注意的是人眼的视觉暂留效应以及单片机执行每条指令的时间很短,所以控制二极管亮/灭的时候应延时一段时间,否则将无法以肉眼观察到“流水”等花样效果。 2.电路原理图 3.器件配置清单 4

基于C51单片机4按键4种花样流水灯设计

#include #define uchar unsigned char #define uint unsigned int #define LED P3 uchar i,flag1,flag2,flag3,flag4; /*******************定义按键*****************************/ sbit k1=P2^4; sbit k2=P2^5; sbit k3=P2^6;

sbit k4=P2^7; /************************流水灯花样设计********************/ uchar table1[]={0xfe,0xfc,0xf8,0xf0,0xe0,0xc0,0x80,0x00,0x80,0xc0,0xe0,0xf0,0xf8,0xfc,0xfc,0x ff};/***从右到左逐一点亮反向灭之**/ /***0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f*左移*/ uchar table2[]={0x7f,0xbf,0xdf,0xef,0xf7,0xfb,0xfd,0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf}; /*******左右循环********/ uchar table3[]={0x7e,0xbd,0xdb,0xe7,0xff};/****两头靠拢****/ uchar table4[]={0xaa,0x55};/****闪烁****/ /*********************延时程序****************************/ void delay(uint x) { uint i; while(x--) for(i=50;i>0;i--); } /******************判断按键***********************/ void keyscan() { if(k1==0) {delay(10);

初学单片机花样流水灯10种亮法c语言程序

sfr P1=0x90; void delay(unsigned int); void main(void){unsigned char led,i; while (1){led=0xfe; for(i=0;i<8;i++){P1=led; delay (500); led=(led<<1)+1;}for(i=0;i<9;i++){P1=led; delay (500); led=led<<1;}led=0xff; for(i=8;i>0;i--){P1=led; delay (500); led=led>>1;}for(i=0;i<5;i++){P1=led; delay (500); led=(led<<2)+1;}for(i=0;i<5;i++){P1=led; delay (500);

led=(led>>2);}for(i=0;i<9;i++){P1=led; delay (500); led=(led>>1)+128;}{ led=0xe7; P1=led; delay (500); led=0xc3; P1=led; delay (500); led=0x81; P1=led; delay (500); led=0x00; P1=led; delay (500);}{ led=0x7e;

delay (500); led=0x3c; P1=led; delay (500); led=0x18; P1=led; delay (500); led=0x00; P1=led; delay (500);}{ led=0xe7; P1=led; delay (500); led=0xdb; P1=led;

2023年单片机花样流水灯设计实验报告

**大学 物理学院 单片机把戏流水灯设计试验 课题:把戏流水灯设计 班级: 物理*** 姓名: *** 学号: ……………

【摘要】 当今时代旳智能控制电子技术,给人们旳生活带来了以便和舒适,而每到晚上五颜六色旳霓虹灯则把我们旳都市点缀得格外迷人,为人们生活增添了不少色彩。 制作流水灯旳措施有诸多种,有老式旳分立元件,由数字逻辑电路构成旳控制系统和单片机智能控制系统等。本设计简介一种简朴实用旳单片机把戏流水灯设计与制作,采用基于MS-51旳单片机AT89C51和发光二极管、晶振、复位、电源等电路以及必要旳软件构成旳以AT89C51为关键,辅以简朴旳数码管等设备和必要旳电路,设计了一款简易旳流水灯电路板,并编写简朴旳程序,使其可以自动工作。 本设计用AT89C51单片机为关键自制一款简易旳把戏流水灯,并简介了其软件编程仿真及电路焊接实现,在实践中体验单片机旳自动控制功能。该设计具有实际意义,可以在广告业、媒体宣传、装饰业等领域得到广泛应用。 关键字:AT89C51 单片机流水灯数码管

【概述】 1.单片机及其发展概况 单片机又称为单片微计算机,其特点是将微型计算机旳基本功能部件(如中央处理器(CPU)、存储器、输入接口、输出接口、定期/计数器及终端系统等)所有集成在一种半导体芯片上。单片机作为一种高集成度微型计算机,已经广泛应用于工业自动化控制、智能仪器仪表、通信设备、汽车电子与航空航天电子系统、智能家居电器等各个领域。 2. Protues仿真软件简介 Protues以其数量众多旳元件数据库、原则化旳仿真仪器、直观旳捕捉界面、简洁明了旳操作、强大旳分析测试、可信旳测试成果, 为电子工程设计节省研发时间,节省了工程设计费用。运用Protues软件设计一款通过数码管显示计数时间旳流水灯电路及Keil C软件编程后,再将两者关联则可以简朴迅速旳进行仿真。 【试验设计目旳】 设计规定以发光二极管作为发光器件,用单片机自动控制,对8个LE D灯设计至少3种流水灯显示方式,每隔20秒变换一次显示把戏,计时通过一种二位七段数码管显示。

基于单片机的花样流水灯设计

题目基于单片机的花样流水灯 姓名王志远学号 201103320275 所在系电子电气工程学院专业年级 P11电气自动化五班指导教师李霞 二〇一二年十二月二十八日

摘要 本文是基于51单片机的花样流水灯的项目设计,在项目程序设计中运用了单片机AT89C51芯片,在程序检测与试验中实现了计数清零(CLR)暂停(LJMP)延时(DELAY)进而实现流水灯循环点亮,控制8个发光二极管的流水亮灭。最后通过实验体现单片机在现实生活中的作用。

目录 摘要 (2) 目录 (3) 一.引言 (4) 二、设计目的 (5) 三、设计要求 (5) 四.硬件组成 (5) 五.软件编程 (7) 5.1流程框图 (7) 5.2 花样流水灯主程序 (8) 六、总结与体会 (10) 七、参考文献 (11)

一.引言 单片机微型计算机是微型计算机的一个重要分支,也是颇具生命力的机种。单片机微型计算机简称单片机,特别适用于控制领域,故又称为微控制器。随着电子技术和微机计算机的迅速发展,单片机的档次不断提高,其应用领域也在不断的扩大,已在工业控制、尖端科学、智能仪器仪表、日用家电、汽车电子系统、办公自动化设备、个人信息终端及通信产品中得到了广泛的应用,成为现代电子系统中最重要的智能化的核心部件。 通常,单片机由单块集成电路芯片构成,内部包含有计算机的基本功能部件:中央处理器、存储器和I/O接口电路等。因此,单片机只需要和适当的软件及外部设备相结合,便可成为一个单片机控制系统。 单片机经过1、2、3、3代的发展,目前单片机正朝着高性能和多品种方向发展,它们的CPU功能在增强,内部资源在增多,引角的多功能化,以及低电压底功耗。 当今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域,传统的分立元件或数字逻辑电路构成的控制系统,正以前所未见的速度被单片机智能控制系统所取代。单片机具有体积小、功能强、成本低、应用面广等优点,可以说,智能控制与自动控制的核心就是单片机。目前,一个学习与应用单片机的高潮正在工厂、学校及企事业单位大规模地兴起。学习单片机的最有效方法就是理论与实践并重,本文笔者用AT89C51单片机自制了一款简易的流水灯,重点介绍了其软件编程方法,以期给单片机初学者以启发更快地成为单片机领域的优秀人才。

基于51单片机的花样流水灯设计

基于51单片机的花样流水灯设计

目录 第1章引言 (1) 1.1 研究背景及意义 (1) 1.2 国内外研究现状以及本系统的重点问题 (1) 1.3 本文章节安排 (2) 第2章系统设计方案论证 (3) 2.1 控制器模块方案论证 (3) 2.2 键盘模块方案 (4) 2.3 电源模块方案 (4) 第3章系统硬件设计方案 (5) 3.1 系统设计框图 (5) 3.2 硬件电路设计 (5) 3.2.1 电源电路 (5) 3.2.2 单片机89C52最小系统 (6) 3.2.3 按键电路 (13) 3.3.4 LED灯电路 (13) 第4章程序设计及软件仿真 (15) 4.1 软件设计框图 (15) 4.2 软件开发平台选择 (15) 4.3 软件系统功能模块 (16) 4.3.1 初始化模块 (16) 4.3.2 延时函数 (17) 4.3.3 定时器控制模块 (17) 4.3.4 键盘扫描模式 (17) 4.3.5 LED灯输出控制模块 (18) 4.4 程序调试仿真 (19) 4.4.1 仿真平台介绍 (19) 4.4.2 仿真测试 (19) 第5章硬件调试 (21) 5.1 硬件设计 (21)

5.2 硬件调试 (21) 5.2.1 下载功能调试 (21) 5.2.2 LED电路测试 (21) 5.2.3 模式选择功能调试 (22) 5.2.4 速度加减功能调试 (22) 5.2.5 复位电路调试 (22) 5.2.6 稳定性测试 (22) 总结 (23) 致谢 (25) 参考文献 (26) 附录 (28) 附录一:原理图 (28) 附录二:硬件实物 (29) 附录三:程序代码 (30)

verilog语言的FPGA变速花样流水灯设计

verilog语言的FPGA变速花样流水灯设计基于XILINX--XSE500E型FPGA 的变速流水灯以及花样流水灯的verilog语言设计 摘要 临近大四毕业,诸多工科院校电子电科通信等专业会选择用FPGA项目作为课程设计的课题,笔者同样经历了这个过程,收获颇多,在此将设计成果在此分享,以帮助大家更好掌握FPGA设计。 FPGA种类繁多,时效性非常好,设计过程中十分注重实时性,在时间点控制上非常优秀。此次设计采用XILINX的XSE500E型芯片的开发板,芯片采用FG320型接口,速度级别-4。板载时钟50MHz,如需其他时钟周期,可采用IP核中的clocking,其中的 DCM可以实现变频,引入DCM,输入频率50MHz,输出频率填入需要的频率即可,之后进行实例化。此外,可以借助计数器进行延时减速,此次设计采用了计数器延时方法。 本次列举了四种流水灯相关设计:普通流水灯(向左和向右滚动),自动反复式流水灯(到最右端自动向左滚动,到左端自动向右滚动),花样流水灯,变速流水灯。 谢谢大家的支持! 正文 一,普通流水灯 1,建模思想 普通流水灯,可以向右滚动,到最右端返回最左端,也可以向左滚动,到最左端返回最右端。 普通流水灯模块涉及的端口有:clk,它是时钟输入,一般就是板载时钟,这里是 50MHz,具体参照开发板说明。还有复位输入rst,高电平有效。此外就是led端口,这个端口有8根管脚,共8位,连接8个led灯。 采用verilog语言,端口定义格式如下: module led( input clk, input rst, output reg[7:0] led //此行定义说明led端口既是驱动管脚的,又是寄存 器 ); 采用过程建模,这里不采用行为建模和功能建模,因为这个过程就是一个大循环,规律性极强。由于板载时钟50MHz,如果每个时钟周期都要滚动流水灯,那么速度是惊人的,

Proteus 花样流水灯课程设计

Proteus 花样流水灯课程设计

课程论文 题目:基于51单片机LED流水灯设计 课程名称: 学生姓名: 学生学号: 系别: 专业: 年级: 任课教师: 电气信息工程学院制 2015年1月

基于51单片机的LED流水灯设计 1 单片机AT89C51芯片简介 MCS-51兼容4K字节,可编程闪烁存储器,寿命:1000写/擦循环,数据保留时间:10年。全静态工作:0Hz—24Hz,三级程序存储器锁定。128*8位内部RAM,32可编程I/O线,两个16位定时器/计数器,5个中断源可编程串行通道,低功耗的闲置和掉电模式,片内震荡器和时钟电路。 图1 AT89C51芯片

1.1电源引脚 Vcc(40脚):典型值+5V。 Vss(20脚):接低电平。 1.2外部晶振 XTAL1、XTAL2分别与晶振两端相连接。 1.3输入输出口引脚 P0口:I/O双向口。作输入口时,应先软件置“ 1”. P0口:是一个8位漏极开路输出型双向I/O端口。作为输出端口时,每位能以吸收电流的方式驱动8 个TTL 输入,对端口写1时,又可作高阻抗输入端用。在访问外部程序或数据存储器时,它是时分多路转换的地址(低8位)/数据总线,在访问期间将激活内部的上拉电阻。 1.4控制引脚 RST、ALE/-PROG、-PSEN、-EA/Vpp组成了MSC-51的控制总线。 RST(9脚):复位信号输入端(高电平有效)。 ALE/-PROG(30脚):地址锁存信号输出端.第一功能:编程脉冲输入。-PSEN(29脚):外部程序存储器读选通信号。-EA/Vpp(31脚):外部程序存储器使能端。第二功能:编程电压输入端(+21V)。 2硬件电路 2.1晶振电路 单片机晶振的作用是为系统提供基本的时钟信号。通常一个系统共用一个晶振,便于各部分保持同步。有些通讯系统的基频和射频使用不同的晶振,而通过电子调整频率的方法保持同步。也就是说.晶振是给单片机提供工作信号脉冲的。这个脉冲就是单片机的工作速度。比如这里选用的是12MHZ的晶振. 如果一个单片机选择了12MHZ晶振,它的时钟周期是1/12us,它的一个机器周期是12×(1/12)us,也就是1us。晶振与单片机XTAL0和XTAL1引脚构成的振荡电路中会产生偕波,这个波对电路的影响不大,但会降低电路的时钟振荡器的稳定性。为了提高电路的稳定性,在晶振的两引脚处接入两个10pf-50pf的瓷片电容接地来削减偕波对电路的稳定性的影响。晶振电路中两个电容的取值都是相同的,或者说相差不大,如果相差太大,容易造成谐振的不平衡,容易造成停振或者干脆不起振。这里我们选用30pf的电容。复位电路:复位电路的原理是单片机RST引脚接收到2US以上的电平信号,只要保证电容的充放电时间大于2US,即可实现复位。复位电路由电容串联电阻构成,结合"

天花乱坠流水灯的设计

天花乱坠流水灯的设计 1. 设计要求 1.1设计一个不同颜色的花样流水灯。 1.2设计一个16个不同颜色的LED花样流水灯。 1.3利用单片机的自动控制功能设计一个流水灯。 1.4当接上电源时,合上开关16个灯按不同的方式显示,先是2排16个LED灯中的两个灯轮流显示,再是2排的各8个LED灯中的两个灯从中间依次向两边显示,再是从两头往中间显示,然后是2排16个LED灯中的三个灯轮流显示,再是2排的各8个LED灯中的三个灯从中间依次向两边显示,再是从两头往中间显示。最后是16个LED灯中的一个灯从头向尾显示,再是从尾向头显示然后全亮在闪烁几下。 (包括:设计方案、电路分析、仿真结果、软硬件结合测试步骤和结果、设计收获和体会) 2. 设计方案 方案一: 利用数字电路知识,选用CT54S195 /CT74S195 四位寄存器四片, 将Ⅰ片的QD 端接Ⅱ片的串行输入端JK , Ⅱ片的QD 端接Ⅰ片的串行输入端JK , 在SH/LD端, 当并行置入信号出现时, 在L1~L8 端并行置入1000 0000, 随后使SH/LD 端为1, 此时在移存脉冲的作用下, 实现循环移位, 依次点亮LED指示灯,三、四片在同样构成像Ⅰ、Ⅱ电路。 图1 利用74LS195构成电路 方案二: 利用单片机控制电路。利用单片机的P1口和P2口控制十六个LED灯,下载程序到单片机,利用程序设计控制花样流水灯LED灯的走向。 相比较两种方案,方案一电路比较复杂,连线容易连错,焊接复杂,且成本会较高。方案二利用单片机接线简单,焊接也比较简单,且成本相对较低。

3. 电路分析 单片机内部结构框图: 程序存储器数据存储器 图2 MCS-51系列单片组成框机 MCS-51系列单片机主要由以下部件通过片内总线连接而成:中央处理器(CPU)、数据存储器(RAM)、程序存储器(ROM)、并行输入/输出口(P0口~P3口)、串行口、定时器/计数器、中断控制、总线控制及时钟电路。 图3 40引脚PDIP封装的80C51单片机的引脚图

花样流水灯课程设计报告

课程论文 把戏流水灯的设计 课程单片机技术及系统设计 学生 学号 所在学院 所在班级 任课教师 提交时间2021年5月25日至2021年5月30日

目录 一.前言 1.1 设计概述................................................................................ (2) 1.2设计主要功能 (2) 二.设计过程 2.1原理图中所使用的元器件功能 (3) 2.2程序在功能实现过程中的作用 (5) 三体会 3.1课程设计体会 (5) 四. 文献 4.1参考文献 (6)

五. 附录 5.1流水灯电路图 (7) 5.2流水灯程序 (7) 摘要:当今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域,传统的分立元件或数字逻辑电路构成的控制系统,正以前所未见的速度被单片机智能控制系统所取代。单片机具有体积小、功能强、本钱低、应用面广等优点,可以说,智能控制与自动控制的核心就是单片机。 关键词:单片机控制系统流水灯 一.前言 1.1设计概述 整个系统工作由软件程序控制运行,根据需要,可以上电后系统经过初始化,进入用户设定模式状态。于AT89C51单片机的彩灯控制方案,实现对LED灯的控制。本方案以AT89C52单片机作为主控核心,与驱动等模块组成核心主控制模块。在主控模块上设有晶振电路和8个LED灯,根据需要编写假设干种亮灯模式,根据各种亮灯时间的不同需要,在不同时刻输出灯亮或灯灭的控制信号

1.2设计主要功能 通过发光二极管显示不同的把戏,并且可以通过按键来控制流水灯的速度通过学习单片机工作原理和各种工作方式及各管脚的功能,想通过P3 口的俩管脚P3.2和P3.3第二功能,即外部中断来使CPU 响应,到达控制流水灯的目的 二.设计过程 2.1原理图中所使用的元器件功能 2.1.1时钟电路单片机部具有一个高增益反相放大器,用于构成振荡器。通常在引脚XTALl和XTAL2跨接石英晶体和两个补偿电容构成自激振荡器,构造图2 中X1、C1、C2。可以根据情况选择6MHz、12MHz或24MHz等频率的石英晶体,补偿电容通常选择30nF左右的瓷片电容 2.1.2 复位电路单片机小系统常采用上电自动复位和手动按键

多按键花样流水灯的设计

摘要 自人类发明计算机以来,单片机技术在社会各领域中得到了广泛的应用。在流水灯控制系统中,单片机的作用更是发挥的淋漓尽致,成为此系统中的核心部分。利用单片机的可编程功能,软硬件结合,来控制LED的灯的开通关断,通过丰富多彩的花样变化,给人以不同的视觉效果,更是成为现代商业社会不可缺少吸引消费者的普遍手段。 本文结合单片机的突出特点,着重介绍通过单片机来实现多按键花样流水灯的变化,主要包括其软件程序的编写、硬件电路的搭接、器件的选择,以及通过PROTEUS的仿真和PCB 板的制作等。 关键词: 单片机、可编程控制器、多按键花样流水灯、准确。

前言 单片微型计算机简称单片机(single-chip microcomputer),又称为单片机微型控制器(single-chip microcontroller),是由CPU、RAM、ROM、定时/计时器、I/O接口电路通过应刷电路板上的总线连成一体的完整计算机系统。 从1971年出现单片机的雏形开始,短短四十多年的时间里,单片机便社会各领域中得到了广泛的应用在流水灯控制系统中,单片机更是取代了由齿轮调节延迟时间的旧式控制系统,成为日后此系统中的核心部分。 由于单片机具有一些突出的优点:体积小、重量轻、电源单一、功耗低;功能强、价格低;数据大都在单片机内部传送,运行速度快、抗干扰能力强、可靠性高,所以单片机被广泛的应用于测控系统、数据采集、智能仪器仪表、机电一体化产品、智能接口、计算机通信以及单片机的多级系统等领域。 本文主要讲的是单片机,课题名称为多按键花样流水灯,它使我们学会了如何使用单片机控制我们日常生活中的多设备设施的应用。通过本课题的设计以后,使我了解到了单片机的许多方面的应用。

相关主题
文本预览
相关文档 最新文档