当前位置:文档之家› 数字化语音存储与回放系统本科

数字化语音存储与回放系统本科

数字化语音存储与回放系统本科
数字化语音存储与回放系统本科

摘要

传统的磁带语音录放系统因其体积大、使用不便,在电子与信息处理的使用中受到许多限制。本文提出的体积小巧,功耗低的数字化语音存储与回放系统将完全可以替代它。数字化语音存储与回放系统的基本原理是对语音的录音与放音的数字化控制。其中,关键技术在于,为了增加语音存储时间,提高存储器的利用率,采用了非失真压缩算法对语音信号进行压缩后再存储,而在回放时再进行解压缩,同时,对输入语音信号进行数字滤波以抑制杂音和干扰,从而确保了语音回放的可靠质量。

该系统对语音信号分别采用了数据采集直寸直取,欠抽样采样和自相似增量调制等三种方法,完成了对语音信号32.7s 、65.5s 、147.4s 的存储与回放。前直AGC 将语音信号控制在A/D 转换器可控制的范围之内以保证话音信号采样不失真。带通滤波器合理的通带范围有效的滤除了带外噪声,减小了混叠失真。通过后级补偿电路对输出的语音信号进行了()()s f f f f /sin //ππ的校正,回放语音清晰。系统具有自动录音、手动录音、录放音时间显示以及掉电后保护语音信号等功能。

关键词:数字化存储,回放,数字滤波,采样,模/数转换,校正

Abstract

Traditional tape record system because of heavy using inconvenient volume their, receive a lot of restrictions in the use of the electron and information processing. The volume that this text puts forward is small and exquisite,the digitized pronunciation of the low power dissipation can substitute it with the playback system to store. Digitized pronunciation store systematic basic principle recording and to put sound in pronunciation digital control with playback. Among them, key technology lies in : For increase pronunciation store time , raise utilization ratio of memory , adopt non- distorted to compress algorithm go on after compressing storing to pronunciation signal, decompress in the playback ; Meanwhile, to input pronunciation signal carry on figure strain wave by suppressing noising and interfering, thus guaranteed the reliable quality of the playback of the pronunciation.

Introducing the direct store & access of data collection, and AGC on acoustic signal respectively ,this system implements the storage an playback of acoustic signal which lasts for 32.7 seconds ,65.5sends or 147.4 seconds ; To insure the undistorted sampling of speech signal, the pre-AGC limits the speech signal within the range that can be processed by A/D converter; the reasonable handwidth of hang-pass filter removes the out-hand noise efficiently and decrease the overlap distortion; With

the ()()

s

s

f

f

f

f/

sin

/

π emendation by latter compensable circuit , the

playback voice is very clear; Beside all above , this system also realize the following funcitions: automatic recording manually recording manually recording ,record , record/play time display and the saving of speech signal when power-off .

Keywords: Digital store,Playback Digital Filter,Sample, A/D Convert,Correct

毕业设计(论文)原创性声明和使用授权说明

原创性声明

本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。

作者签名:日期:

指导教师签名:日期:

使用授权说明

本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。

作者签名:日期:

学位论文原创性声明

本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。

作者签名:日期:年月日

学位论文版权使用授权书

本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。

涉密论文按学校规定处理。

作者签名:日期:年月日

导师签名:日期:年月日

教研室(或答辩小组)及教学系意见

目录

摘要 (1)

Abstract (2)

1 绪言............................................................. 2

1.1课题背景................................................... 3

1.2课题研究的目的和意义....................................... 3

1.3国内外概况................................................. 32方案比较与论证................................................... 3

2.1方案一..................................................... 3

2.1.1语音编码方案:........................................ 3

2.1.2 A/D、D/A及存储芯片的选择............................. 4

2.2方案二..................................................... 5

2.2.1控制方式.............................................. 5

2.2.2放大器及A/D、D/A芯片的选择........................... 5

2.3方案三..................................................... 53系统总体结构..................................................... 64 电路设计......................................................... 7

4.1拾音器..................................................... 7

4.2放大器的设计............................................... 7

4.3有源带通滤波器设计......................................... 9

4.4可调稳压电源的设计....................................... 10

4.5 MCS—51系列单片机....................................... 11

4.6 D/A、A/D转换器.......................................... 21

4.6.1 D/A转换器DAC0832的介绍............................ 21

4.6.2 A/D转换器AD574介绍................................ 22

4.6.3 单片机AT89C51和AD574 的接口原理................... 23

4.6.4 存储器的选取........................................ 24

5 软件设计....................................................... 26

6 总结与展望..................................................... 28

7 致谢.......................................................... 29

8 参考文献....................................................... 30附录............................................................. 32

绪言

本文阐述了数字化语音存储与回放系统的研究背景、现状及发展方向,明确指出了传统的语音存储与回放系统的缺陷和面临的问题,以及数字化语音存储与回放系统的优点和发展前景。

1.1课题背景

数字化语音存储与回放系统,以微处理芯片为核心,具有语音可控、回

放灵活、无磨损、可靠简单等特点。因而在各类公共设施、智能仪表、家用电子产品等领域有着广泛的应用[1]。该系统目前有多种方案可以实现,其中采集成语音芯片是一种较简单通用的方案,但该方案智能性较差,如音量不能放大、录音时间固定等。本系统采用另外一种方案,以AT89C51单片机为核心,设计了一套可灵活实现录、放音,音量自动控制的新的语音存储与回放系统。

1.2课题研究的目的和意义

目前,广为流传的语音存储手段为磁带记录,其体积大、使用不便,在电子与信息处理的使用中受到许多限制。所以数字化存储方式是未来发展的趋势。我们在这里将语音信号的存储建立在数字化的基础上,同时为了降低噪声提高语音质量和音量的稳定性采用了带通滤波器和自动增益控制电路[2]。

1.3国内外概况

自从爱迪生1877年发明留声机以来,音响技术已有百余年的发展历史,这期间,记录存储各种声音的载体,传输与播放语音技术的发展可谓日新月异。该系统采用单片机对录音、放音、快进、暂停等功能实现控制,用DSP技术对语音信息进行处理,用Flash ROM 技术进行存储,提高了语音的回放质量和延长了存储时间,与盒式磁带录音机相比避免了机械传动噪音,音质好,功耗低,具有时钟功能,而且人机界面友好,又用中断方式控制录音、放音的过程,实现了语音存储与回放的数字化[3]。

2方案比较与论证

2.1方案一

2.1.1语音编码方案:

人耳能听到的声音是一种频率范围为20 Hz~20000 Hz ,而一般语音频率最高为3400 Hz。语音的采集是指语音声波信号经麦克风和高频放大器转换成有一定幅度的模拟量电信号,然后再转换成数字量的全过程。根据“奈奎斯特采样定理”, 采样频率必须大于模拟信号最高频率的两倍,由于语音信号频率为300~

3 400 Hz ,所以把语音采集的采样频率定为8 kHz。从语音的存储与压缩率来考虑,模型参数表示法明显优于信号波形表示法[4]。但要将之运用于单片机,显然信号波形表示法相对简单易实现。基于这种思路的算法,除了传统的一些脉冲编码调制外,目前已使用的有VQ技术及一些变换编码和神经网络技术,但是算法复杂,目前的单片机速度底,难以实现。结合实际情况,提出以下几种可实现的方案。

(1)短时平均跨零记数法该方案通过确定信号跨零数,将语音信号编码为数字信号,常用于语音识别中。但对于单片机,由于处理数据能力底,该方法不易实现。

(2)实时副值采样法采样过程如图2.1所示。

图2.1 采样过程

具体实现包括直存取法、欠抽样采样法、自相似增量调制法等三种基本方法。其中第三种实现方法最具特色,该方法可使数据压1:4.5,既有M

?调制的优点,又同时兼有PCM编码误差较小的优点,编码误差不向后扩散。

2.1.2 A/D、D/A及存储芯片的选择

单片机语音生成过程,可以看成是语音采集过程的逆过程,但又不是原封不动地恢复原来的语音,而是对原来语音的可控制、可重组的实时恢复。在放音时,只要依原先的采样直经D/ A 接口处理,便可使原音重现。

(1)A/D转换芯片的选择根据题目要求采样频率fs=8KHZ,字长=8位,可选择转换时间不超过125μs的八位A/D转换芯片。目前常用的A/D转换实现的方法有多种,鉴于转换速度的要求,我们采用A/D转换芯片AD574。该芯片是高速12位逐次比较型A/D转换器,内置双极性电路构成的混合集成转换显片,具有外接元件少,功耗低,精度高等特点,并且具有自动校零和自动极性转换功能,只需外接少量的阻容件即可构成一个完整的A/D转换[5]。

(2)D/A转换芯片的选择 D/A转换芯片的作用是将存储的数字语音信号转换为模拟语音信号,由于一般的模拟转换器都能达到1μs的转换速率,足够满足题目的要求,故我们在此选用了通用D/A转换器DAC0832。

(3)数据存储器的选择当采样频率?s=8KHZ,字长为8位时,一秒钟的语音需要8K字节的存储空间,则存储器至少需要有80k8

?容量。在这里我们

选用闪速存储器AT29C040作为存储器,一片该芯片可存储60秒钟的语言。

基于dsp的语音信号采集与回放系统的设计--开题报告

HEFEI UNIVERSITY 课程设计开题报告 题目:《基于DSP系统的语音采集与回放系统》 专业:11 级电子信息工程 姓名:章健吴广岭何志刚 学号:1105011029 1105011030 1105011044 指导老师:汪济洲老师 完成时间:2014年12月1日

一、开题报告题目 基于DSP系统的语音采集与回放系统。 二、研究背景与意义 语音处理是数字信号处理最活跃的研究方向之一,它是信息高速公路、多媒体技术、办公自动化、现代通信及职能系统等新兴领域应用的核心技术之一。用数字化的方法进行语音的传送、存储、分析、识别、合成、增强等是整个数字化通信网中的最重要、最基本的组成部分之一。一个完备的语音信号处理系统不但要具有语音信号的采集和回放功能, 还要能够进行复杂的语音信号分析和处理。通常这些信号处理算法的运算量很大, 而且又要满足实时的快速高效处理要求, 随着DSP 技术的发展, 以DSP 为内核的 设备越来越多。为语音信号的处理提供了优质可靠的平台. 软件编程的灵活性给很多设备增加不同的功能提供了方便, 利用软件在已有的硬件平台上实现不同的功能已成为 一种趋势。近年来,随着DSP的功能日益增强,性能价格比不断上升,开发手段不断改进,DSP在数据采集系统的应用也在不断完善。 三、主要内容与目标 随着计算机多媒体技术,网络通信技术和DSP(Digital Signal Processor)技术的飞速发展,语音的数字通信得到越来越多的应用,语音信号的数字化一直是通信发展的主要方向之一,语音的数字通信和模拟通信相比,无疑有着更大的优越性,这主要体现在以下几个方面:数字语音比模拟语音具有更好的话音质量;具有更强的干扰性,并易于加密;可节省带宽,能更有效的利用网络资源;更加易于存储和处理。最简单的数字化就是直接对原始语音信号进行A/D 转换,但这样得到的语音的数据量非常大。为了减少语音信号所占用的带宽或存储空间,就必须对数字语音信号进行压缩编码。语音编码的目的就在于在保证语音音质和可懂度的条件下,采用尽可能少的比特数来表示语音,即尽可能的降低编码比特率,以便在有限的传输带宽内让出更多的信道来传输图像和其他数据流,从而达到传输资源的有效利用和网络容量的提高。在通信越来越发达的当今世界,尤其最近几十年,语音压缩编码技术在移动通信、IP 电话通信、保密通信、卫星通信以及语音存储等很多方面得到了广泛的应用。 语音信号处理在手持设备、移动设备和无线个人设备中的应用正在不断增加。今天的个人手持设备语音大多时候仅仅局限于语音拨号,但是已经出现了适用于更广泛开发语音识别和文本到语音应用的技术。语音功能为用户提供自然的输入和输出方式,它比其他形式的I/O更安全,尤其是当用户在开车期间。在大多数应用中,语音都是键盘和显示器的理想补充。其他潜在的语音应用包括如下几个方面。 (1)语音电子邮件。包括浏览邮箱、利用语音输入写电子邮件以及收听电子邮件的读出。 (2)信息检索。股票价格、标题新闻、航班信息、天气预报等都可以通过语音从互联网收听。例如,用户不用先进入某个网址并输入股票名字或者浏览预定义列表,可以通过语音命令实现。 (3)个人信息管理。允许用户通过语音指定预约、查看日历、添加联络信息等等。 (4)语音浏览。利用语音程序菜单,用户可以在网上冲浪、添加语音收藏夹并收听网页内容的读出。 (5)语音导航。在自动和人眼不够用的条件下获取导航的完全语音输入/输出驾驶

数字化语音存储与回放系统【毕业作品】

BI YE SHE JI ( 届) 数字化语音存储与回放系统 (英文) Digital voice storage and playback System 所在学院电子信息学院 专业班级电子信息工程 学生姓名学号 指导教师职称 完成日期年月日

摘要 数字化语音存储与回放系统英文全称为“Digital voice storage and playback system”,由于传统的磁带语音录放系统,体积大,音质差,存储时间短,存储量小已不能满足人们的需求,随着计算机技术和数字电子的发展,人们发明了音质更好、体积小、容量大的数字化语音存储与回放系统。 本系统由语音信号经放大滤波后,送入A/D进行模数转换。转换后的数字化语音信号,通过单片机的控制写入片外数据存储器,完成语音数字化存储,本系统能达到的最大存储时间为10S。回放时,单片机从数据存储器中将数据读出,送人并行D/A转换器,进行数模转换,转换后的模拟信号经滤波、功率放大后,实现语音回放。 关键词:单片机;数字化;语音处理;A/D

Abstract The Digital voice storage and playback system full title in English is"Digital voice storage and playback system",As traditional tape voice recording system is large volume,poor Sound quality ,Short storage time and Small amount of storage,so it can not meet people's needs.With the development of computer technology and digital electronics,people invented a better sound quality, small size, large capacity digital voice storage and playback system. The system consists of a voice signal after amplifying and filtering into the A / D analog to digital conversion.The converted digital voice signal through the control of the microcontroller is written to the chip data memory, complete digital voice storage,Maximum storage time of 10 s.During playback, the data is read out from the data memory by Microcontroller and filtering into the D/A digital to analog conversion,The converted analog signal can achieve voice playback by filtering, power amplifier. . Key Words: SCM;Digital;Voice Processing;A/D

语音信号采集与回放系统设计

语音采集与回放系统设计
l 竞赛真题 l 总体方案选择 l 具体方案设计 l 设计阶段划分
一、竞赛真题
1999 年第四届 E 题 数字化语音存储与回放系统 一、题目:数字化语音存储与回放系统 二、任务 设计并制作一个数字化语音存储与回放系统,其示意图如下:
三、要求 1.基本要求 (1)放大器 1 的增益为 46dB,放大器 2 的增益为 40dB,增益均可调; (2)带通滤波器:通带为 300Hz~3.4kHz ; (3)ADC:采样频率 fs= 8kHz,字长= 8 位; (4)语音存储时间≥10 秒; (5)DAC:变换频率 fc= 8kHz,字长= 8 位; (6)回放语音质量良好。 2.发挥部分 在保证语音质量的前提下: (1)减少系统噪声电平,增加自动音量控制功能; (2)语音存储时间增加至 20 秒以上; (3)提高存储器的利用率(在原有存储容量不变的前提下,提高语音存储时间) ;

(4)其它(例如: 四、评分意见
校正等) 。


满 分 50 50 15 5 15 15
基 设计与总结报告: 方案设计与论证, 理论分析与计算, 电路图, 本 测试方法与数据,对测试结果的分析 要 实际制作完成情况 求 完成第一项 发 挥 完成第二项 部 完成第三项 分 完成第四项 五、说明 不能使用单片语音专用芯片实现本系统。
训练侧重点 l 题目中给出一些提示性设计参数,设计中应予以重点理解
1. 放大器 1 的增益,放大器 1 的增益为 46dB 2. 带通滤波器的频率范围通带为 300Hz~3.4kHz(方便测试) 3. AD 采样的字长和采样频率(保证公平竞争)
l
题目中部分非技术性指标在培训中可以适当简化
1. 语音存储与回放时间≥10 秒 2. 语音存储时间增加至 20 秒以上;
二、总体方案选择
1. 控制平台选择 2. 前级放大模块 3. 带通滤波器 4. 模数、数模转换部分 5. 存储器 6. 编码方案
1. 控制平台选择
供选平台: A. B. 单片机平台 FPGA 开发平台

基于单片机的语音存储与回放系统设计

本科生毕业设计(申请学士学位) 论文题目基于单片机的语音存储与回放 系统设计 作者姓名 所学专业名称电子信息工程 指导教师

2017年 5 月

学生:(签字)学号: 答辩日期:2017 年 5 月20 日指导教师:(签字)

目录 摘要 (5) 1绪论 (6) 1.1课题研究背景 (6) 1.2课题研究的发展前景 (6) 1.3课题研究的意义及目的 (6) 2 语音系统的设计方案 (7) 2.1方案设计 (7) 2.2方案分析和选择 (8) 3 材料选取 (8) 3.1 控制芯片STC90C516RD+ (8) 3.2 语音芯片ISD4004 (9) 3.3功放芯片TDA2822M (11) 4 电路设计 (11) 4.1时钟电路 (11) 4.2复位电路 (12) 4.3显示电路 (12) 4.4 3.3V电源电路 (13) 4.5按键模块 (13) 4.6 ISD4004音频处理模块 (14) 4.7 TDA2822M功放电路 (14) 4.8总电路设计图 (15) 5 程序设计 (16) 5.1主程序流程图 (16) 5.2录音程序流程图 (17) 5.3放音序流程图 (17) 6实物调试 (17) 6.1程序编译和下载 (17) 6.2 实物调试最终结果展示 (19) 6.3 实物调试过程及故障解决方法 (19) 结论 (21) 参考文献 (21) 附录 (22) 附录1元件清单 (22) 附录2程序 (23) 致谢 (33)

基于单片机的语音存储与回放系统设计 摘要:本设计是基于单片设计的一个能实现语音存储和回放功能的系统,利用宏晶公司生产的STC90系列单片机作为主控制器,使用具有录音和放音功能的ISD4004语音芯片,能够显示32个字符的LCD1602显示器件和能对音频进行无失真的放大的TDA2822M差分放大器设计而成的。首先我们利用STC90C516RD+单片机的优越的控制性能来控制ISD4004语音芯片,通过单片机向语音芯片发送指令来完成ISD4004芯片的录音和放功能,用LCD1602来显示单片机对ISD4004的操作状态,使用TDA2822M将ISD4004芯片输出的音频进行无失真的放大的思路来进行语音存储和回放系统设计的,用Circuit Design Suite 10.0(Multisim)软件来绘制该系统的电路,用Keil uVision5软件来编写硬件程序。电路图和程序都完成后使用万用洞洞板依据电路图来焊接实物电路,实物完成后载入芯片程序直接进行实物调试,使用实物调试更容易找出问题和系统设计的缺陷,出现问题时可以通过模块化思想轻松查找到故障原因,并进行修复故障。ISD4004芯片录音时基于多电平存储技术实现的没有传统的数字录音过程中A/D转换带来的量化噪音和变色的金属音色。该系统录取的声音播放出来后和原音的音色、音调保持一致不失真。 关键词:STC90C516RD+;Keil uVision5;ISD4004;Multisim;语音录放

基于MATLAB的语音信号采集与处理

工程设计论文 题目:基于MATLAB的语音信号采集与处理 姓名: 班级: 学号: 指导老师:

一.选题背景 1、实践意义: 语音信号是一种非平稳的时变信号,它携带着各种信息。在语音编码、语音合成、语音识别和语音增强等语音处理中无一例外需要提取语音中包含的各种信息。语音信号分析的目的就在于方便有效地提取并表示语音信号所携带的信息。所以理解并掌握语音信号的时域和频域特性是非常重要的。 通过语音相互传递信息是人类最重要的基本功能之一.语言是人类特有的功能.声音是人类常用工具,是相互传递信息的最重要的手段.虽然,人可以通过多种手段获得外界信息,但最重要,最精细的信息源只有语言,图像和文字三种.与用声音传递信息相比,显然用视觉和文字相互传递信息,其效果要差得多.这是因为语音中除包含实际发音容的话言信息外,还包括发音者是谁及喜怒哀乐等各种信息.所以,语音是人类最重要,最有效,最常用和最方便的交换信息的形式.另一方面,语言和语音与人的智力活动密切相关,与文化和社会的进步紧密相连,它具有最大的信息容量和最高的智能水平。 语音信号处理是研究用数字信号处理技术对语音信号进行处理的一门学科,处理的目的是用于得到某些参数以便高效传输或存储;或者是用于某种应用,如人工合成出语音,辨识出讲话者,识别出讲话容,进行语音增强等. 语音信号处理是一门新兴的学科,同时又是综合性的多学科领域,

是一门涉及面很广的交叉学科.虽然从事达一领域研究的人员主要来自信息处理及计算机等学科.但是它与语音学,语言学,声学,认知科学,生理学,心理学及数理统计等许多学科也有非常密切的联系. 语音信号处理是许多信息领域应用的核心技术之一,是目前发展最为迅速的信息科学研究领域中的一个.语音处理是目前极为活跃和热门的研究领域,其研究涉及一系列前沿科研课题,巳处于迅速发展之中;其研究成果具有重要的学术及应用价值. 数字信号处理是利用计算机或专用处理设备,以数值计算的方法对信号进行采集、抽样、变换、综合、估值与识别等加工处理,借以达到提取信息和便于应用的目的。它在语音、雷达、图像、系统控制、通信、航空航天、生物医学等众多领域都获得了极其广泛的应用。具有灵活、精确、抗干扰强、度快等优点。 数字滤波器, 是数字信号处理中及其重要的一部分。随着信息时代和数字技术的发展,受到人们越来越多的重视。数字滤波器可以通过数值运算实现滤波,所以数字滤波器处理精度高、稳定、体积小、重量轻、灵活不存在阻抗匹配问题,可以实现模拟滤波器无法实现的特殊功能。数字滤波器种类很多,根据其实现的网络结构或者其冲激响应函数的时域特性,可分为两种,即有限冲激响应( FIR,Finite Impulse Response)滤波器和无限冲激响应( IIR,Infinite Impulse Response)滤波器。 FIR滤波器结构上主要是非递归结构,没有输出到输入的反馈,系统函数H (z)在处收敛,极点全部在z = 0处(因果系统),因而只能

调用采样和数据回放的说明

调用采样和数据回放的说明 1、调用采样 指本软件的采样模块被第三方软件调用,方法如下: ●调用采样模块格式: d:\EcgWire\EcgWire 'c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,C14,C15,C16,C17,C18' ●解释:假定安装目录是d:\EcgWire,执行程序名称是EcgWire.exe,后面的参数必须用单引号括住,中间用逗号隔开。 ●如果C18有,按照C18规定生成心电图数据文件,否则按照下条生成心电图文件 ●采样的数据在安装目录下,对应三种采用方式,数据文件的名字分别固定是:"EcgTempData.W", "EcgTempData.F" 或 "EcgTempData.A",每次采样后必须取走,否则下一次采样后将覆盖原来的数据文件。 ●参数说明 1word版本可编辑.欢迎下载支持.

2、对指定ECG文件的回放、分析、打印 ●正确安装本程序后,双击“.W”、“.F”、“.A”的数据文件,将自动调用本程序的分析打印模块。 ●第三方程序调用采样分析打印格式: d:\EcgWire\EcgWire “d:\EcgWire\EcgTempData.W,c0,c1,c2,c3,c4,c5,c6,c7” ●解释:假定安装目录是d:\EcgWire,执行程序名称是EcgWire.exe,后面参数必须用双引号括住,数据文件需带全路径,参数 2word版本可编辑.欢迎下载支持.

之间用逗号隔开。 输出文件名称前缀生成规则: 1、输出文件是指:报告的JPG、PDF文件和存储心电图参数和诊断结论的TXT三个文件,由setup中的选项决定否生成,默认均生成, 另外,每次在“安装目录\HIS下”还生成HIS.JPG、HIS.PDF和HIS.TXT,内容对应前面的三个文件,不同的是下次阅图后生成的HIS会覆盖上一次的HIS文件 2、如果参数C6不为空,优先以该信息为准存储输出文件,输出文件均在C6指定的目录下 3、如果参数C6为空,参数C5不为空,输出文件的前缀是:年月日时分秒(12位,每项2位)+ID(8位)+患者,其中“患者”来自 3word版本可编辑.欢迎下载支持.

语音信号采集与回放系统

电子与信息工程学院 综合实验课程报告 课题名称 语音采集及回放系统设计 专 业 电子信息工程 班 级 07电子2班 学生姓名 Y Y Y 学 号 07002 指导教师 X X X 2010年 7月 5日

1 总体设计方案介绍: 1.1语音编码方案: 人耳能听到的声音是一种频率范围为20 Hz~20000 Hz ,而一般语音频率最高为3400 Hz。语音的采集是指语音声波信号经麦克风和高频放大器转换成有一定幅度的模拟量电信号,然后再转换成数字量的全过程。根据“奈奎斯特采样定理”, 采样频率必须大于模拟信号最高频率的两倍,由于语音信号频率为300~3 400 Hz ,所以把语音采集的采样频率定为8 kHz。从语音的存储与压缩率来考虑,模型参数表示法明显优于信号波形表示法[4]。但要将之运用于单片机,显然信号波形表示法相对简单易实现。基于这种思路的算法,除了传统的一些脉冲编码调制外,目前已使用的有VQ技术及一些变换编码和神经网络技术,但是算法复杂,目前的单片机速度底,难以实现。结合实际情况,提出以下几种可实现的方案。 (1)短时平均跨零记数法该方案通过确定信号跨零数,将语音信号编码为数字信号,常用于语音识别中。但对于单片机,由于处理数据能力底,该方法不易实现。 (2)实时副值采样法采样过程如图2.1所示。 图2.1 采样过程 具体实现包括直存取法、欠抽样采样法、自相似增量调制法等三种基本方法。其中第三种实现方法最具特色,该方法可使数据压1:4.5,既有M ?调制的优点,又同时兼有PCM编码误差较小的优点,编码误差不向后扩散。 1.2 A/D、D/A及存储芯片的选择 单片机语音生成过程,可以看成是语音采集过程的逆过程,但又不是原封不动地恢复原来的语音,而是对原来语音的可控制、可重组的实时恢复。在放音时,只要依原先的采样直经D/ A 接口处理,便可使原音重现。 (1)A/D转换芯片的选择根据题目要求采样频率f s=8K H Z,字长=8位, 可选择转换时间不超过125s的八位A/D转换芯片。目前常用的A/D转换实现的

多路视频数据实时采集系统设计与实现

多路视频数据实时采集系统设计与实现 常永亮王霖萱常馨蓉 ( 中国飞行试验研究院陕西西安 710089) ( 贵州省贵阳市花溪区贵州大学贵州省贵阳市 550025) ( 陕西省榆林市榆阳区榆林学院陕西省榆林市 719000) 摘要面对越来越多的实时视频采集、播放的应用,如何能更加方便的操控视频采集,保证流畅的播放效果,成为近几年实时媒体流的一个重要研究方向。本文介绍了视频数据的采集、记 录、编解码、多路视频数据间的切换,基于多网络协议组合下的多媒体流传输,动态切换四路视 频数据实时传输与播放,从而使远端操控、优质播放有了很大的提高。 关键词视频编解码、媒体流、RTP/RTCP协议、组播协议、TCP协议 0.引言 随着信息技术的不断发展,人们将计算机技术引入视频采集、视频处理领域,用计算机处理视频信息和网络传输数字视频数据在很多领域已有广泛的应用,飞机试飞中现如今也大量的应用。 针对目前分散在多处试飞现场视频传入监控大厅后监测设备多而分散的问题,提出了将多处试飞现场视频引入监控大厅后用一台高性能服务器管控,客户端通过网络请求服务器端检测关心的现场场景,达到集中管理优化监控的目的。 视频图像采集的方法较多,基本可分为2大类:数字信号采集和模拟信号采集。前者采用图像采集芯片组完成图像的采集、帧存储器地址生成以及图像数据的刷新;除了要对采集模式进行设定外,主处理器不参与采集过程,我们只要在相应的帧存储器地址取出采集到的视频数据即可得到相应的视频数据,这种方法,无论在功能、性能、可靠性、速度等各方面都得到了显著的提高,但成本高。后者采用通用视频采集卡实现图像的采集,并用软件进行实时编码,其特点是数据采集CPU占用率较高,对处理器的速度要求高,成本低、易于实现,能够满足某些图像采集系统的需要。此系统使用第二类视频采集方法。 如何将各处试飞现场视频信号通过VGA持续接收?传统方式是将模拟的VGA信号引到指定显示器显示,这样即浪费资源且多占空间。多路视频实时采集使用的是VisionRGB- PRO板卡(英国Datapath公司),此卡可同时实时采集两路视频数据,基本达到了本系统的要求,再用一台VGA矩阵切换器将前端数据源的四路视频数据进行人为切换采集,用H.264格式编解码,保存为H.264格式,通过RTP/RTCP 与组播协议将编码后视频流传输给请求客户端,而且可在客户端通过TCP协议选择关心的VGA采集通道。

数字化语音存储与回放系统

南京理工大学 毕业设计说明书(论文) 作者: 仝香保准考证号:014910253064 教学点: 南京信息职业技术学院 专业: 电子工程 题目: 数字化语音存储与回放系统 李玲副教授/高工 指导者: (姓名) (专业技术职务) 评阅者: (姓名) (专业技术职务) 2012年4月

毕业设计说明书(论文)中文摘要

毕业设计说明书(论文)外文摘要

目录 1 引言 (1) 2 总体方案设计 (2) 3 各模块硬件设计 (5) 3.1 话筒前置放大电路 (5) 3.2 带通滤波器设计 (6) 3.3 AT89S52单片机基本电路 (7) 3.4 模数转换模块 (13) 3.5 数模转换模块 (15) 3.6 外部存储模块 (17) 3.7 功放电路设计 (19) 3.8 按键与显示模块 (21) 3.8.1 ZLG7289B1芯片介绍 (21) 3.8.2 ZLG7289B与其它部分连接图 (23) 3.9 供电电路模块 (24) 3 软件设计 (26) 结论 (28) 致谢 (29) 参考文献 (30) 附录 (31) 附录一程序源代码 (31) 附录二原理图及实物图 (44)

1 引言 传统的磁带语音录放系统因其体积大、使用不便,在电子与信息处理的使用中受到许多限制。本文提出的体积小巧,功耗低的数字化语音存储与回放系统将完全可以替代它。数字化语音存储与回放系统的基本原理是对语音的录音与放音的数字化控制。其中,关键技术在于,为了增加语音存储时间,提高存储器的利用率,采用了非失真压缩算法对语音信号进行压缩后再存储,而在回放时再进行解压缩,同时,对输入语音信号进行数字滤波以抑制杂音和干扰,从而确保了语音回放的可靠质量。 本系统能够对语音信号分别进行数据的采集直存直取,欠抽样采样和自相似增量调制等三种方法,完成了对语音信号的存储与回放。前置放大、滤波以及电平移位电路将语音信号控制在A/D转换器采样控制范围内以保证话音信号采样不失真。带通滤波器合理的通带范围有效的滤除了带外噪声,减小了混叠失真。后置带通滤波器用于滤除D/A转换产生的高频噪声以保证回放时音质清晰,无明显失真。 本系统设计主要分为以下几个模块:声音采集模块、带通滤波模块、A/D转换模块、数据存储模块、D/A转换模块、按键选择模块、放大器模块。声音采集模块用于外部语音信号,带通滤波模块作用是将声音转换后的电信号进行滤波,数据存储模块用于存储数字化处理后声音信号的数据,D/A转换模块将数字信号转换为模拟信号输出,音频放大模块则是将采集的信号最终进行回放以检验系统整体性能,按键选择模块则是对录、放音、数据分段存取等功能进行选择。

信号采集与回放系统

信号采集与回放系统 技术报告 电信082班084775240 周霞 (合作者:电信082班084775228 吴迪) 指导教师:倪海燕 2010-5-27

摘要:本设计通过A/D转换和D/A转换实现输入信号与输出信号的变化。通过实验箱上的模式3的ADC输入正弦波信号,设计按键选择,有3种模式分别是直接回放,单次回放,循环回放和定点回放。 关键字:信号回放模式选择 一、实验要求 1. 实现输入,存储,回放信号 2. 回放模式选择(直接回放,单次波形回放,循环回放,分段存储定点回放等) 二、总原理图 三、系统总体方案设计 根据实验要求,TLC5510A 是采样率最高为20MHz的8位并行高速ADC ,FPGA的PIO48输出信号控制ADC1的输出使能信号OE(低电平有效);PIO15为转换时钟信号CLK;AD转换结果送至PIO16~PIO23,并且同时显示在数码管1和数码管2上。ADC的模拟信号输入端在实验箱的左侧,允许输入0~5V的信号。 转换关系:DATA=255×Ain/5

数据从采集到转换结束需要两个半时钟周期 四、软件电路的设计 4.1控制器的设计 用VHDL语言编写控制器的程序,要有读写使能和模式选择。用choose[2]的四个状态分别表示直接回放,单次回放,循环回放和定点回放。 程序如下: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity control is port ( clk:in std_logic; --时钟 writ:in std_logic; --读写使能 en:in std_logic; --使能 choose:in std_logic_vector(1 downto 0); --模式选择 ch:in std_logic_vector(1 downto 0); --阶段选择 enout:out std_logic; --读写使能输出 adr:out std_logic_vector(9 downto 0) ); --地址 end entity control; architecture behave of control is signal count1:std_logic_vector(9 downto 0); signal count11:std_logic_vector(9 downto 0); signal count2:std_logic_vector(9 downto 0); signal count22:std_logic_vector(9 downto 0); begin process(writ,en,ch,choose) begin if(en='1')then count1<="0000000000";count11<="0000000000"; count2<="0000000000";count22<="0000000000"; elsif (clk'event and clk='1')then if(choose="01")then ---- 单次回放

数字化语音存储与回放系统的设计

数字化语音存储与回放系统的设计 班级:电信1202 姓名:吴建亮学号:201203090224 一、设计题目 设计一个数字语音存储与回放系统,其系统框图如图图1.1所示。设计要求如下:语音录放时间≧60s;语音输出功率≧0.5W,回放语音质量良好;设置“录音”、“放音”键,能显示录放时间;采用语音压缩算法,在不增加存储器容量的基础上增加录放时间。 麦克风语音输入通 道 ADC 喇叭语音输出通 道 DAC 微控置器存储器图1.1 数字化语音存储与回放系统框图 二、方案设计 数字化语音存储与回放系统的基本原理:语音的存储与回放系统将语音信号转化为电信号,经放大、滤波处理后通过A/D转换器转化为数字信号,然后将数字化的语音信号存放在大容量的存储器中;回放时,从存储器中取出数字化的语音信号,经D/A转化器转化为模拟信号,经滤波放大后驱动扬声器发出声音。如图2.1所示为以C8051F360为核心的语音存储与回放系统原理框图。 麦克风前置放 大器 带通滤 波器 C8051F360 ADC0 IDA0 带通滤 波器 功率放 大器 喇叭 键盘和显示 外部存储器 图2.1 语音存储回放系统原理框图 三、模拟子系统设计 1.语音输入通道电路的设计 在语音存储与回放系统中,通过麦克风将声音信号转化为电信号。麦克风内部含有一个电容元件和场效应管构成的内部前置放大器。电容随机械振动发生变

化,从而产生与声波成比例的变化电压。麦克风在使用时需要通过一个电阻R1连接到电源对其进行偏置。R1的阻值决定了麦克风的输出电阻和增益,通常在1~10kΩ之间。麦克风输出的电信号比较微弱,信号增值在1~20mV之间。 前置放大器就是对麦克风输出的语音信号进行放大一边对其进一步处理。前置放大电路有两种设计方案。一种方案是针对双麦克风设计的前置放大器,由一级差分放大器和一级增益可调反向放大器组成的设计方案。本次系统设计中,为了是器材简单,使用了后一种。 语音存储与回放系统中,模拟量输入通道和输出通道均需要带通滤波器。带通滤波器的通带范围为300Hz~3。4kHz,主要实现的功能如下:(1)保证300Hz~3。4kHz的语音信号不失真的通过滤波器。 (2)滤除带通外的低频信号,减少工频等分量的干扰,减小噪声影响。 (3)滤除带通外的告辞谐波信号,减少因8kHz采样率引起的混叠失真,根据实际情况,该上限频率在2.7kHz左右。 根据上述条件设计的语音输入通道如图3.1所示。 图3.1语音输入通道 2.语音输出通道设计 当语音回放是,语音信号从C8051F360单片机的IDA0输出。IDA0输出的语音心哈既包含了直流分量,也包含了由于最小分辨电压产生的高频噪声。因此在语言输出通道应设置带通滤波电路。为了能提供0.5W的功率输出,语音信号还要经过功放电路进行功率放大。为了简化电路设计,语音输出通道选用了滤波和功放二合一的设计方案,其原理图如图3.2所示。

数字信号处理在语音信号分析中的应用

《数字信号处理》 课程设计报告 数字信号处理在语音信号分析中的应用 专业班级: 姓名: 学号:

目录 摘要 (3) 1、绪论 (3) 2、课程设计的具体容 (4) 2.1.1、读取语音信号的任务 (4) 2.1.2、任务分析和解决方案 (5) 2.1.4、运行结果和相应的分析 (5) 2.2、IIR滤波器设计和滤波处理 (6) 2.2.1、设计任务 (6) 2.2.2、任务分析和解决方案 (7) 2.2.3、编程得到的MATLAB代码 (7) 2.2.4、运行结果和相应的分析 (7) 2.3、FIR滤波器设计和滤波处理 (9) 2.3.1、设计任务 (9) 2.3.2、任务分析和解决方案 (9) 2.3.3、编程得到的MATLAB代码 (9) 2.3.4、运行结果和相应的分析 (11) 3、总结 (13) 4、存在的不足及建议 (13) 5、参考文献 (13)

数字信号处理设计任务书 摘要 语音信号滤波处理是研究用数字信号处理技术和语音学知识对语音信号进行处理的新兴的学科,是目前 发展最为迅速的信息科学研究领域的核心技术之一。通过语音传递信息是人类最重要、最有效、最常用和最方便的交换信息形式。 Matlab语言是一种数据分析和处理功能十分强大的计算机应用软件,它可以将声音文件变换为离散的数据文件,然后利用其强大的矩阵运算能力处理数据,如数字滤波、傅里叶变换、时域和频域分析、声音回放以及各种图的呈现等,它的信号处理与分析工具箱为语音信号分析提供了十分丰富的功能函数,利用这些功能函数可以快捷而又方便地完成语音信号的处理和分析以及信号的可视化,使人机交互更加便捷。信号处理是Matlab重要应用的领域之一。本设计通过录制一段语音,对其进行了时域分析,频谱分析,分析语音信号的特性。并应用matlab平台对语音信号进行加噪然后再除去噪声,进一步设计两种种滤波器即高通滤波器、带通滤波器,基于这两种滤波器设计原理,对含加噪的语音信号进行滤波处理。最后对比滤波前后的语音信号的时域和频域特性,回放含噪语音信号和去噪语音信号。论文从理论和实践上比较了不同数字滤波器的滤波效果。 1.绪论 通过语音传递倍息是人类最重要、最有效、最常用和最方便的交换信息的形式。语言是人类持有的功能,声音是人类常用的工具,是相互传递信息的最主要的手段。因此,语音信号是人们构成思想疏通和感情交流的最主要的途径。并且,由于语言和语音与人的智力活动密切相关,与社会文化和进步紧密相连,所以它具有最大的信息容量和最高的智能水平。现在,人类已开始进入了信息化时代,用现代手段研究语音信号,使人们能更加有效地产生、传输、存储、获取和应用语音信息,这对于促进社会的发展具有十分重要的意义。让计算机能听懂人类的语言,是人类自计算机诞生以来梦寐以求的想法。 随着计算机越来越向便携化方向发展,随着计算环境的日趋复杂化,人们越来越迫切要求摆脱键盘的束缚而代之以语音输人这样便于使用的、自然的、人性化的输人方式。作为高科鼓应用领域的研究热点,语音信号采集与分析从理论的研究到产品的开发已经走过了几十个春秋并且取得了长足的进步。它正在直接与办公、交通、金融、公安、商业、旅游等行业的语音咨询与管理.工业生产部门的语声控制,、电信系统的自动拨号、辅助控制与查询以及医疗卫生和福利事业的生活支援系统等各种实际应用领域相接轨,并且有望成为下一代操作系统和应用程序的用户界面。可见,语音信号采集与分析的研究将是一项极具市场价值和挑战性的工作。我们今天进行这一领域的研究与开拓就是要让语音信号处理技术走人人们的日常生活当中,并不断朝更高目标而努力。数字滤波器是数字信号处理的基础,用来对信号

数据采集实验报告

中国石油大学(北京) 实 验 报 告 实验名称:基于声卡的数据采集 班级:过程10-4班学号:21 姓名:夏亚康 成绩: 实验日期: 2013 年 1 月 4 日

一、实验目的 1、掌握Labview软件的基本使用方法; 2、掌握利用Labview功能模板进行虚拟仪器设计; 3、了解声卡的工作原理 4、学习用Labview进行数据采集的基本过程。 5、利用软件设计并实现一台虚拟数字录音机,完成音频数据采集、显示、保存、处理、回放的功能。通过练习使用Labview设计数字录音机。 二、实验仪器和设备 1. 计算机?1台、MIC 1只、耳机1只 2.编程环境 WindowsXP操作系统 3. Labview实验软件 ?1套 二、实验说明: 1、声卡的工作特点 本设计采取的方法是在LabVIEW虚拟仪器环境中利用Windows自带声卡采集语音信号。从数据采集的角度来看,PC声卡本身就成为一个优秀的数据采集系统,它同时具有A/D和D/A转换功能,不仅价格低廉,而且兼容性好、性能稳定、灵活通用,软件特别是驱动程序升级方便。如果测量对象的频率在音频范围(20 Hz-20 kHz)内,而且对采样频率等指标又没有太高要求,就可以考虑使用声卡。而语音音频范围一般在5kHz以内,满足声卡采集的要求。在采集语音信号前,要检查声卡的设置,保证已配置的输入功能(录音功能)不处于静音状态。主机通过总线将数字化的声音信号送到数模转换器(D / A),将数字信号变成模拟的音频信号同时,又可以通过模数转换器(A/D)将麦克风或CD的输入信号转换成数字信号,送到计算机进行各种处理。衡量声卡的技术指标包括复音数量、采样频率、采样位数(即量化精度)、声道数、信噪比(SNR)和总谐波失真(THD)等。复音数量代表声卡能够同时发出多少种声音,复音数越大,音色就越好,播放声音时可以听到的声部越多、越细腻;采样频率是每秒采集声音样本的数量,采样频率越高,记录的声音波形越准确,保真度就越高,但采样数据量相应变大,要求的存储空间也越多。采样位数是指将声音从模拟信号转化为数字信号的二进制位数(bit) ,位数越高,在定域内能表示的声波振幅的数目越多,记录的音质也就越高,例如16位声卡把音频信写的大小分为216 =65536个量化等级来实施上述转

基于单片机的数字化语音存储与回放系统[附源码和中英文翻译]

摘要 当今,计算机技术带来了科研和生产的许多重大飞跃,微型计算机的应用已经渗透到生产、生活的各个方面。单片微型计算机简称单片微机或单片机,又称为微控制器。它体积小、价廉、功能强,适用范围越来越宽。单片机在工业控制、自动检测、智能仪器、家用电器等领域的应用尤其突出。 本课题以凌阳SPCE061A单片机为主体,实现了语音的数字化存储与回放,整个系统分为录音、停止、和放音三种状态,状态的改变用按键K1\K2\K3控制。存储器采用SPR4096,放大器采用NE5532,使用SPCE061A单片机自带的LineIN输入,性能良好的数字滤波器滤去音频信号(300~3400)频段以外的信号,经AD转换将音频信号转换为电信号,采用SACM-A2000的压缩算法,将压缩后的数据存储在SPR4096存储器中。放音时再从SPR4096读取数据,利用凌阳SACM库提供的DVR函数进行录放,数模转换后经过放大驱动喇叭。在8kHz的采样频率时,语音存储时间可以达到10s 以上,回放时语音失真小,音质良好。软硬件的结合使该系统有合理的结构,性能指标基本达到要求。 关键词:SPCE061A SPR4096 数字滤波压缩编码语音

ABSTRACT Nowadays, computer science has brought about a lot of achievements in scientific research and in industry. The application of microcomputer has penetrated to all aspects of life and industry. Microcomputer is called singlechip for shot, or controller. Because of its small bulk, low price, strong function, the microcomputer is used more and more, especially in the industrial control, automatic detect, intelligent instrument, apparatus and so on. This task is based on the microcomputer SPCE061A of Sunplus. Digital memorization of voice and playback of voice are all realized in this system. All the system is composed of three states: record, playback and halt. The keys K1\K2\K3 are in charge of the change of the states. SPR4096 is used as the data memorizer. The microcomputer SPCE061A offers micin input. Digital filter which performance is all right is used to wipe off the noise. Audio frequency single is switched to the electric single via the conversion of AD. After amplified, it drives the trumpet. Voice memorization time can reach more than 10s at 8kHz sampling frequency. Quality of the playback voice is fine and distortion is low. Both software and hardware were combined together so that the system can work well. The tested data shows that the system is reliable and the performance of the system up to the design requirements. Key words: SPCE061A ; SPR4096; digital filter;

STM32 波形采集、存储与回放

波形采集、存储与回放系统设计 摘要 本设计是基于数字示波器的原理,以STM32-cortex-m3作为控制芯片,把波形采集分为A、B两个通道,对A通道的输入信号进行衰减,对B通道的输入信号进行放大,然后采用内部集成的高速AD对信号进行实时采样,方式为上升沿内触发,可以实现波形的单次和多次触发存储和回放显示,以及频率、周期、峰-峰值的测量和显示,并具有掉电存储功能。由信号采集、数据处理、波形显示,控制面板等功能模块组成,整个系统分成A/D转换部分、D/A转换部分、波形存储部分、键盘输入控制四大部分,系统操作简便,输出波形可以在示波器输出显示,此存储示波器即具有一般示波器实时采样实时显示的功能,又可以对某段波形进行即时存储和连续回放显示,且界面友好,达到了较好的性能指标。具体设计原理以及过程在下面章节中详细说明。 关键字:STM32、波形采集、波形存储、波形回放

Abstract The design is based on the principle of digital oscilloscope, with STM32-cortex-m3 as the control chip, the waveform acquisition is divided into A, B two channel, the A channel input signal attenuation on B channel, the input signal is amplified, then using the internal integration of high-speed AD on real time data sampling, as rising edge trigger, can achieve waveform of single and multiple triggers the storage and playback and display, frequency, cycle, peak to peak value measurement and display, and power failure memory function. The signal acquisition, data processing, waveform display, the control panel and other functional modules, the system is divided into A/D transformation, D/A converting part, waveform storage, keyboard input control system four parts, simple operation, the output waveform can be output in the oscilloscope display, this storage oscilloscope namely has the common oscilloscope real-time sampling real time display function, can be a real-time storage and continuous playback waveform display, and friendly interface, has achieved good performance. The design principle and process are described in detail in the following sections. Keywords: STM32, waveform acquisition, storage, waveform waveform playback

相关主题
文本预览
相关文档 最新文档