当前位置:文档之家› 自动升降电梯控制设计

自动升降电梯控制设计

自动升降电梯控制设计
自动升降电梯控制设计

武汉理工大学FPGA课程设计

课程设计任务书

学生姓名:专业班级:

指导教师:工作单位:

题目:自动升降电梯控制设计

初始条件:ISE、Modelsim软件

要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)

设计任务:根据自动电梯工作原理,用ISE软件设计一个自动升降电梯控制器。该系统主要由四个模块构成:外部数据高速采集模块设计、信号存储模块、基于FPGA的中央处理模块和信号的输出、显示模块。

设计要求:

(1)设计一个6层楼的电梯控制器;

(2)该控制器可控制电梯完成6层楼的载客服而且遵循方向优先原则,并能响应提前关门延时关门,并具有超载报警和故障报警;

(3)同时指示电梯运行情况和电梯内外请求信息。

时间安排:

序号设计内容

所用

时间

1 根据课题的技术指标,确定整体方案,并进行参数设计计算2天

2 根据实验条件进行全部或部分程序的编写与调试,并完成基本功能4天

3 总结编写课程设计报告1天

合计1周指导教师签名:年月日

系主任(或责任教师)签名:年月日

目录

摘要 (2)

Abstract (3)

一、VHDL与ISE简介 (4)

1.1 VHDL语言介绍 (4)

1.1.1 VHDL语言特点介绍 (4)

1.1.2 VHDL的设计步骤 (4)

1.1.3 VHDL语言编程格式 (5)

1.2 ISE概述 (6)

二、总体方案设计 (7)

2.1设计要求 (7)

2.2 方案论证 (7)

2.4 电梯控制器的总体设计方案 (8)

2.5 电梯控制器模块设计 (9)

2.5.1 外部数据高速采集模块设计 (10)

2.5.2 信号存储模块 (10)

2.5.3 基于FPGA的中央处理模块 (11)

2.5.4 信号的输出、显示模块 (11)

三、程序设计及波形仿真 (13)

3.1 程序流程分析 (13)

3.1.1端口、寄存器设计说明 (14)

3.1.2 程序调试及引脚锁定 (15)

3.2波形仿真 (16)

3.2.1电梯功能实现与仿真结果分析 (17)

四、设计总结 (20)

4.1 可行性分析 (20)

4.2 总结 (20)

参考文献 (21)

附录 (22)

摘要

电梯作为垂直方向的交通工具,在高层建筑和公共场所已成为不可或缺的设备。中国是全球最大的电梯市场,也具有最强的电梯生产能力,但由于缺乏自主知识产权和核心技术,自主品牌占市场的份额很少。随着社会需求的变化,电梯朝着节能、环保及智能化方向发展。

本设计语言采用VHDL,源程序Xilinx公司的ISE软件仿真。运用有限状态机的设计方法,设计了两个进程相互配合,状态机进程作为主要进程,信号灯控制进程作为辅助进程。在主进程中定义了7个状态,分别是“dooropen”“doorclose”“doorwait4”“up”“down”和“stop”,在电梯时钟的触发下,通过当前状态和信号灯信号判定下一状态。信号灯控制进程中,信号灯存储按键请求情况,它的熄灭是由状态机进程中传出的信号来控制。

关键字:电梯控制器;VHDL;FPGA

Abstract

Elevator has become an indispensable device as a vertical transport in high-rise buildings and public places. China is the world’s largest elevator market ,and also has the highest lift capacity. But the lack of independent intellectual property rights and core technologies, the market share of own brands account for very little. With the changing of the community’s needs, elevator develop towards energy saving, environmental protection and intelligent.

This design which uses VHDL simulated by Xilinx’s ISE software. I use method named finite state machine which two processes complement each other. The state machine process act as the main process, and the signal control process act as a assistant. Seven states were defined in the main process, namely “stopon1” “dooropen” “doorclose” “doorwait4” “up”“down” and “stop”. Triggered by the lift’s clock, the next state is dete rmined by the current state and the signal. In signal control process, registers keep input value, and lamps black out when the control process, registers keep input value, and lamps black out when the control signal in the main process is high value.

Key words: Elevator Controller;VHDL State Machine;FPGA

一、VHDL与ISE简介

1.1 VHDL语言介绍

1.1.1 VHDL语言特点介绍

VHDL作为一个规范语言和建模语言,具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计效率和可靠性。VHDL具有以下几方面的特点:

功能强大:VHDL具有功能强大的语言结构。它可以用明确的代码描述复杂的控制逻辑设计。并且具有多层次的设计描述功能,支持设计库和可重复使用的元件生成。

可移植性:VHDL语言是一个标准语言,其设计描述可以为不同的EDA工具支持。

独立性:VHDL的硬件描述与具体的工艺技术和硬件结构无关。

可操作性:由于VHDL具有类属描述语句和子程序调用等功能,对于已完成的设计,在不改变源程序的条件下,只需改变端口类属参量或函数,就能轻易地改变设计的规模和结构。

灵活性:VHDL最初是作为一种仿真标准格式出现的,有着丰富的仿真语句和库函数。使其在任何大系统的设计中,随时可对设计进行仿真模拟。

1.1.2 VHDL的设计步骤

采用VHDL的系统设计,一般有以下6个步骤。

(1)要求的功能模块划分;

(2)VHDL的设计描述(设计输入);

(3)代码仿真模拟(前仿真);

(4)计综合、优化和布局布线;

(5)布局布线后的仿真模拟(后仿真);

(6)设计的实现(下载到目标器件)。

1.1.3 VHDL语言编程格式

1、一个完整的VHDL程序是以下五部分组成的:

库(LIBRARY):储存预先已经写好的程序和数据的集合。

程序包(PACKAGE):声明在设计中将用到的常数、数据类型、元件及子程序。

实体(ENTITY):声明到其他实体或其他设计的接口,即定义本定义的输入输出端口。

构造体(ARCHITECTUR):定义实体的实现。

电路的具体描述配置(CONFIGURATION):一个实体可以有多个构造体,可以通过配置来为实体选择其中一个构造体。

2、实体

实体(ENTITY)是VHDL设计中最其本的组成部分之一(另一个是结构体),VHDL表达的所有设计均与实体有关。实体类似于原理图中的一个部件符号,它并不描述设计的具体功能,只是定义所需的全部输入/输出信号。实体格式如下:

ENTITY 实体名 IS

[GENERIC(常数名:数据类型[:设定值])] 类属说明

PORT 端口说明

(端口信号名1;模式类型;端口信号名2:模式类型;端口信号名3:模式类型;端口信号名4:模式类型)语句或常量定义申明实体语句

END 实体名;

(3)结构体

所有能被仿真的实体都由结构体(ARCHITECTURE)描述,即结构体描述实体的结构或行为,一个实体可以有多个结构体,每个结构体分别代表该实体功能的不同实现方案。

结构体格式:

ARCHITECTURE 结构体名OF 实体名 IS

[定义语句(元件例化);]

BEGIN

并行处理语句;

END 结构体名;

1.2 ISE概述

ISE的全称为Integrated Software Environment,即“集成软件环境”,是Xilinx

公司的硬件设计工具。相对容易使用的、首屈一指的PLD设计环境。 ISE将先进的技术与灵活性、易使用性的图形界面结合在一起,不管您的经验如何,都让您在最短的时间,以最少的努力,达到最佳的硬件设计。

功能及作用:

1、设计输入

ISE软件提供的设计输入工具包括用于HDL代码输入和报告查看的ISE文本编辑器(TextEditor),用于原理图编辑的工具ECS(Engineering Capture System),用于P CORE的COREGenerator,用于状态机设计的StateCAD,以及用于约束文件

编辑的Constraint Editor等。

2、综合

ISE的综合工具不但包括了Xilinx自身提供的综合工具xsr,同时还可以集成

MentorGraphics公司的LeonardoSpectrum和Synplicity公司的Synplify

3、仿真

ISE本身自带了图形化波形编辑功能的仿真工具HDL Bencher,同时又提供了使用

ModelTechnology公司的ModelSim进行仿真的接口。

4、实现

ISE的实现功能包括了翻译(Translate)、映射(Map)、布局布线(Place and Route)等。

5、下载

下载功能包括了BitGen,用于将布局布线后的设计文件转换为比特流(Bitstream)文件。还包括了iMPACT功能,用于进行设备配置和通信,控制将程序烧写到FPGA 芯片中去。

二、总体方案设计

2.1设计要求

1、设计一个6层楼的电梯控制器。

2、该控制器可控制电梯完成6层楼的载客服而且遵循方向优先原则,并能响应提前关门延时关门,并具有超载报警和故障报警;

3、同时指示电梯运行情况和电梯内外请求信息。

2.2 方案论证

1、内部请求优先控制方式

内部请求优先控制方式类似于出租车的工作方式,先将车上的人送至目的地,再去载客。作为通用型电梯应该服务于大多数人,必须考虑电梯对内、外请求的响应率P: Pin = 100%;

Pout = 0~100%;

在内部请求优先控制方式中,当电梯外部人的请求和电梯内部人的请求冲突时,外部人的请求信号可能被长时间忽略,因而它不能作为通用型电梯的设计方案。

2、单向层层停控制方式

单向层层停控制方式等同于火车的运行方式,遇站即停止、开门。这种方案的优点在于“面面俱到”,可以保证所有人的请求都能得到响应。缺点是:效率低,能耗高,时间长。

3、方向优先控制方式

此方式是指当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号和梯内乘客下电梯的请求,这些请求信号由下而上逐个执行,直到最后一个请求信号执行完毕。优点是效率高,对用户响应为100%,时间段,节省能源。

综上所述:本次设计中电梯控制方式选择为方向优先控制方式。

2.4 电梯控制器的总体设计方案

控制器的功能模块如图2.1所示,包括主控制器、楼层选择器、状态显示器、译码器

和楼层显示器。乘客在电梯中选择所要到达的楼层,通过主控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层数通过译码器译码从而在楼层显示器中显示。由于其他模块相对简单很多,所以主控制器是核心部分。

图2.1电梯控制器功能模块

电梯控制器运用状态机的设计方法,思路比较清晰。可以将电梯等待的每秒钟以及开门、关门都看成一个独立的状态。根据电梯的实际工作情况,可以把状态机设置成“电梯停留在第1层”、“开门”、“关门”、 “开门等待”、“上升”、“下降”和“停止状态”、“故障”等不同状态。各个状态之间的转换条件可由上面的设计要求所决定。各状态机之间转换图如图2.2所示:

图2.2 电梯控制状态流程图 状态显示

主控制器

楼层选

择器译码器楼层显示

2.5 电梯控制器模块设计

图2.3 系统组成

根据设计要求,各模块设计为:

1. 外部数据高速采集模块设计

2. 信号存储模块

3. 基于FPGA的中央处理模块

4. 信号的输出、显示模块

2.5.1 外部数据高速采集模块设计

对外部信号采集、处理要求电梯控制器:

(1)外部请求信号的实时、准确采集;

(2)准确、实时的捕捉楼层到达信号;

(3)有效的防止楼层到达信号、外部请求信号的误判。

控制器采用FPGA作为系统控制的核心,系统时钟频率是32.0000MHz,完全可以满足实时采集数据的要求。由于电路中毛刺现象的存在,信号的纯净度降低,单个的毛刺往往被误作为系统状态转换的触发信号,严重影响电梯的正常工作。可以采用多次检测的方法解决这个问题,对一个信号进行多次采样以保证信号的可信度。

外部请求信号的输入形式为按键输入,到达楼层信号来自光敏传感器,关门中断信号及超载信号则产生于压力传感器。键盘、光敏外部输入接口电路未设计。

2.5.2 信号存储模块

电梯控制器的请求输入信号有18个(电梯外有6个上升请求和6个下降请求的用户输入断口,电梯内有6个请求用户输入断口),由于系统对内、外请求没有设置优先级,各楼层的内、外请求信号被采集后可先进行运算,再存到存储器内。

电梯运行过程中,由于用户的请求信号的输入是离散的,而且系统对请求的响应也是离散的,因此请求信号的存储要求新的请求信号不能覆盖原来的请求信号,只有响应动作完成后才能清除存储器内对应的请求信号位。

2.5.3 基于FPGA的中央处理模块

中央数据处理模块是系统的核心,通过对存储的数据(含请求、到达楼层等信号)进行比较、判断以驱动系统状态的流转。电梯工作过程中共有9种状态:等待、上升、下降、开门、关门、停止、休眠、超载报警以及故障报警状态。一般情况下,电梯工作起始点是第一层,起始状态是等待状态,启动条件是收到上升请求。

超载状态时电梯关门动作取消,同时发出警报,直到警报被清除;故障时电梯不执行关门动作,同时发出警报,直到警报被清除(看门狗信号有效的条件是一层楼连续发生关门中断情况超过3次)。本系统由请求信号启动,运行中每检测到一个到达楼层信号,就将信号存储器的请求信号和楼层状态信号进行比较,再参考原方向信号来决定是否停止,转向等动作。

2.5.4 信号的输出、显示模块

本系统的输出信号有两种:一种是电机的升降控制信号(两位)和开门/关门控制信号;另一种是面向用户的提示信号(含楼层显示、方向显示、已接受请求显示等)。

电机的控制信号一般需要两位,本系统中电机有3种工作状态:正转、反转和停转状态。两位控制信号作为一个三路开关的选通信号,此三路开关选用模拟电子开关。

系统的显示输出包括数码管楼层显示、数码管请求信号显示和表征运动方向的箭头形指示灯的开关信号。

开始

电梯是否复位用户输入程序段是否在开关门

是否空闲

是否处于上行是否处于下行

结束

电梯复位程序

开关门程序

是否上行召换是否下行召换

定上行指示定下行指示执行运行程序段

执行运行程序段

否否否否

是是

图2.4电梯控制运行流程图

三、程序设计及波形仿真

3.1 程序流程分析

电梯的运行规则确立后,需对整个控制程序的设计作一个流程规范。对程序进行模块化构思。根据VHDL语言的规则,程序必须由最基本的实体和结构体构成。实体对控制器的端口进行定义,结构体对各端口的行为进行描述。因此程序运行需经过以下流程:VHDL 库调用;确立控制器的端口及相关的寄存器;根据电梯运行规则,设计相关运行描述;对电梯内外信号进行处理。具体流程图如图3.1所示。

程序开始

VHDL库调用

设置控制器的端口

设置相关的寄存器

电梯运行规则描述

电梯信号处理

程序结果

图3.1流程图

3.1.1端口、寄存器设计说明

(1)由功能要求得到本程序设计的端口必须包括:

输入端口:时钟(clk,频率为2Hz)、超载(full)、关门中断(deng)、提前关门(quick)、清除报警(clr)、电梯外人的上升请求信号(c_u1,c_u2,c_u3, ,c_u4,c_u5)、电梯外人的下降请求信号(c_d2,c_d3,c_d4,c_d5,c_d6)、电梯内人的请求信号(d1,d2,d3,d4,d5,d6)、到达楼层信号(g1,g2,g3,g4,g5,g6)。

输出端口:电梯门控制信号(door)、电梯所在楼层显示(led)电梯外人上升请求信号显示(led_c_u)、电梯外人下降请求信号显示(led_c_d)、电梯内请求信号显示(led_d)、看门狗报警信号(wahaha)、电梯运动方向显示(ud)、超载警告信号(alarm)、电机控制信号(up,down)。

图3.2 电梯端口分布图

(2)程序要求的寄存器(中间信号)包括:

电梯内人请求信号寄存信号(d11,d22,d33,d44,d55,d66);

电梯外人上升请求信号寄存信号(c_u11,c_u22,c_u33,c_u44,c_u55);

电梯外人下降请求信号寄存信号(c_d22,c_d33,c_d44,c_d55,c_d66);

分频信号、关门延时计数器、看门狗计数器(q,q1,q2);

电梯内外请求信号寄存器(dd,cc_u,cc_d,dd_cc);

开门使能信号(opendoor);

电梯运动方向信号寄存器(updown);

预备上升、预备下降预操作使能信号(en_up,en_dw)。

3.1.2 程序调试及引脚锁定

(1)建立好工作目录,以便设计工程项目的存储,打开ISE软件,(2)在工具栏中选择“新建”按钮。选择“New Project>VHDL Moudle”(3)在文本输入界面内进行程序输入,如图3.3所示

图3.3

(4)输入保存以后,对程序进行编译。若有错误则修改程序重新编译。进行编译后的总结报告如图3.4所示:

图3.4

3.2波形仿真

(1)编译完成后,会自动弹出Modelsim波形仿真界面;

(2)根据程序对端口的定义,对输入端口进行初始化;

(3)创立输入波形。对程序进行仿真,观察输出信号,得出结论。

3.2.1电梯功能实现与仿真结果分析

1、设置输入

图3.2.1设计好的输入波形

2、结果分析

以下将以“电梯停在一楼时,接受到请求信号c_d2、c_d3、c_u4和d6”为例,分析操作这些请求信号完成的过程,并在电梯运行到四楼时对超载报警、提前关门、延时关门、故障报警进行仿真分析。

1. 电梯停在一楼时,接受到请求信号c_d3、c_d2、c_u4和d6,并把请求信号写入相应的寄存器。led显示电梯所在楼层;led_d、led-c_u和led_c_d显示用户的请求。

2. 电梯经过准备上升状态后,进入上升状态,到达2楼,3楼时,不停继续前进。

3. 电梯上升到4楼时,响应请求(c_u4),开门载客;进入预备上升状态。

图3.2.2电梯控制程序仿真局部放大图2

注:1. 电梯停在一楼时,接受到请求信号c_d3、c_d2、c_u4和d6,并把请求信号写入相应的寄存器。led显示电梯所在楼层;led_d、led-c_u和led_c_d显示用户的请求从上图可以看出:

1.电梯从1楼上升到6楼时,响应请求(d_3),开门卸客;继续上升。

2.电梯到达二楼,响应请求开门卸客

图3.2.3电梯控制程序仿真局部放大图3

从上图可以看出:

1、电梯到达6楼,进入预备下降状态,并开始下降;

2、电梯到达3楼,电梯应超载信号(full=‘1’),发出超载警报alarm;超载信号消失

(full=‘0’),电梯重新进入预备下降状态。

图3.2.4电梯控制程序仿真局部放大图4

1 、电梯接受到提前关门信号quick,电梯跳过关门等待时间。仿真图中q1从1跳到3;进入关门状态。

2、电梯接受到deng、c_d3和d3电梯重新进入预备下降状态,并且c_d3和d3信号都可以对q2(q2<3时)进行清零处理。

3、当连续的关门中断的次数超过3次时,不认为是出自乘客的需要,而认为是故障,并报警,等技术员处理完故障时,用clr信号才可以清除报警。

4、电梯排除故障后继续运行,电梯执行完所有请求时电梯将停在1楼待机。

电梯控制系统的设计Word版

目录 摘要 Abstract 第1章前言 (1) 第2章系统硬件设计 (2) 2.1硬件的基本组成 (2) 2.2 AT89C51单片机芯片的介绍 (3) 2.2.1单片机概述 (3) 2.2.2 AT89C51单片机简介 (3) 2.3系统硬件设计 (10) 2.3.1 各楼层电梯外电路的设计 (10) 2.3.2 电梯内电路的设计 (11) 2.3.3 控制台电路的设计 (11) 2.3.4 单片机电路的设计 (11) 第3章系统软件设计 (13) 3.1 控制方案的设计 (13) 3.2 主程序的设计 (13) 3.3 定时器T0中断程序的设计 (13) 3.4 定时器T1中断程序的设计 (14) 第4章系统调试 (18) 4.1 设置SoftICE模式 (18) 4.2 设置仿真环境 (18) 4.3 程序调试 (18) 第5章结束语 (19) 答谢辞 参考文献

摘要 本文所讨论的是基于AT89C51单片机的四层楼电梯控制系统,它能控制电梯从一楼能到达二、三、四楼,从二楼能到达一、三、四楼,从三楼能到达一、二、四楼,从四楼能到达一、二、三楼。各楼层的定位采用延时控制,相邻楼层间升降设定为2S。用单片机AT89C51来控制,软件程序由汇编语言编写。 中国最早的一座电梯出现在上海,是由美国奥的斯公司于1901年安装的。而今,我国电梯业已进入了高速发展的时期。任何一座城市,商场、医院、宾馆、仓库、住宅大楼等地方的电梯都被广泛应用着,直接与人们的生活息息相关,给人们的生活带来了极大的便利,是一种必不可少的垂直运输交通工具。 四层楼电梯控制系统主要通过控制台的两个手动按键控制整个电梯的升降,即:电梯上电之后,按下Start键后开始工作,逐层到达,按下Stop键后强制降至一楼,然后停止工作,直至再次按下Start键后重新恢复运行。采用定时器中断服务程序实现电梯升降过程中电梯间的升降请求,中断服务程序每10ms一次检查所有按键状态,并记录在相应的存储单元,同时控制相应的指示灯。 硬件的设计要考虑多方面,以自己设计的目的为出发点,设计合理的方案。温度采集显示系统的设计需要硬件和软件的配合、补充,软件编写要和硬件电路相对应,这样才能完成预期的效果。 关键词:单片机;汇编语言;

电梯的电气控制系统设计与实现

编订:__________________ 审核:__________________ 单位:__________________ 电梯的电气控制系统设计 与实现 Deploy The Objectives, Requirements And Methods To Make The Personnel In The Organization Operate According To The Established Standards And Reach The Expected Level. Word格式 / 完整 / 可编辑

文件编号:KG-AO-7382-100 电梯的电气控制系统设计与实现 使用备注:本文档可用在日常工作场景,通过对目的、要求、方式、方法、进度等进行具体的部署,从而使得组织内人员按照既定标准、规范的要求进行操作,使日常工作或活动达到预期的水平。下载后就可自由编辑。 电梯是当前高层建筑不可缺少的垂直方向的交通运输工具,随着计算机及微电子技术的快速发展,电梯控制技术发生了巨大变化,其中PLC控制系统代替传统的继电器控制以及电梯采用了对电动机实现线性调速的调压调频技术,能达到电梯安全平稳运行。 随着人们生活水平的提高及高层建筑的普及,电梯是当前高层建筑不可缺少的垂直方向的交通工具,电梯是集机电一体的复杂系统,涉及机械传动、电气控制和土建等工程领域多种领域专业与一体的综合技术。随着社会的发展及对安全的重视,在设计电梯的时候,应具有高度的安全性。这样就对建筑内的电梯的调速精度、调速范围等静态和动态特性提出了更高的要求。当前由可编程序控制器(PLC)和微机组成的电梯运行逻辑控制系统具有可靠性高、维护方便、开发

电梯系统设计

1 引言 自1889年美国奥梯斯升降机公司推出世界第一部以电动机为动力的升降机以来,电梯在驱动方式上经历了卷筒式驱动、牵引式驱动等历程,逐渐形成了直流电机拖动和交流电机拖动两种不同的拖动方式。如今电梯已成为人们进出高层建筑不可或缺的代步工具;而且作为载人工具,人们在运行的平滑性、高速性、准确性、高效性等一系列静、动态性能方面对它提出了更高的要求。由于早期的电梯继电器控制方式存在故障率较高、可靠性差、接线复杂、一旦接收完成不易更改等缺点,所以需要开发一种安全、高效的控制方式。可编程控制器(PLC)既保留了继电器控制系统的简单易懂、控制精度高、可靠性好、控制程序可随工艺改变、易于与计算机接口、维修方便等诸多高品质性能。因此,PLC在电梯控制领域得到了广泛而深入的应用。 随着微电子技术和计算机技术的迅速发展,PLC(即可编程控制器)在工业控制领域内得到十分广泛地应用。PLC是一种基于数字计算机技术、专为在工业环境下应用而设计的电子控制装置,它采用可编程序的存储器,用来存储用户指令,通过数字或模拟的输入/输出,完成一系列逻辑、顺序、定时、记数、运算等确定的功能,来控制各种类型的机电一体化设备和生产过程。 电梯是随着高层建筑的兴建而发展起来的一种垂直运输工具。多层厂房和多层仓库需要有货梯;高层住宅需要有住宅梯;百货大楼和宾馆需要有客梯,自动扶梯……在现代社会,电梯已像汽车、轮船一样,成为人类不可缺少的交通运输工具。据统计,美国每天乘电梯的人次多于乘载其它交通工具的人数。当今世界,电梯的使用量已成为衡量现代化程度的标志之一。追溯电梯这种升降设备的历史,据说它起源于公元前236年的古希腊。当时有个叫阿基米德的人设计出---人力驱动的卷筒式卷扬机。1858年以蒸汽机为动力的客梯,在美国出现,继而有在英国出现水压梯。1889年美国的奥梯斯电梯公司首先使用电动机作为电梯动力,这才出现名副其实的电梯,并使电梯趋于实用化。1900年还出现了第一台自动扶梯。1949年出现了群控电梯,首批4~6台群控电梯在纽约的联合国大厦被使用。1955年出现了小型计算机(真空管)控制电梯。1962年美国出现了速度达8米/秒的超高速电梯。1963年一些先进工业国只成了无触点半导体逻辑控制电梯。1967年

基于plc的三层电梯控制系统设计

摘要 电梯是高层建筑不可缺少的运输工具,用于垂直运送乘客和货物,传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用PLC组成的控制系统可以很好地解决上述问题。本论文通过讨论电梯控制系统的组成,阐述可编程控制器(用三菱PLC编程的程序控制方式,提出了三层电梯的程、组成,列出了具体的主要硬件电路、电梯的控制梯形图及指令表。并给出了系统组成框图和程序流程图,在分析、处理随机信号逻辑关系的基础上,提出了计了一套完整的电梯控制系统方案。触点多,故障率高、可靠性差、安装调试周期长、维修工作量大、接线复杂等缺点。使电梯运行更加安全、方便、舒适。在PLC课程设计中,我组设计了一个三层电梯控制系统,并且将西门子公司S7-200系列可编程控制器与其结合并应用起来,在学完《电气控制与PLC应用》课程后,我们在设计过程中较为得心应手,不至于从头开始。整个过程包括了方案讨论,程序设计,程序修改,上机调试等,在程序设计方面花了比较多的时间,主要考虑到电梯分别停在一层、二层和三层时在其他楼层呼叫等各种情况。每当遇到困难时,我组都积极与老师联系讨论,深入分析研究问题,在整个过程中,我与我的组员都相互配合,相互学习。 关键字:PLC;电梯;升降;梯形图;系统组成框图

In this paper The elevator is an indispensable means of transport for the high-rise building, used for vertical transporting passengers and cargo, the traditional elevator control system mainly adopts relay - contactor to control, its shortcomings is the number of contact, such as high failure rate and poor reliability, maintenance workload is big, and composed of PLC control system is a good way to solve the above problems. Through discussing the composition of the elevator control system, this paper expounds the programmable controller (with mitsubishi PLC programming way of process control, puts forward the three layers of elevator ride, and lists the specific of the main hardware circuit, elevator control ladder diagram and instruction list. And the system composition block diagram and program flow chart is given, based on the analysis, processing, on the basis of random signal logic relation, put forward the plan for a complete set of the elevator control system scheme. Contact, high failure rate, poor reliability, installation and debugging cycle is long, maintenance workload, such as complex wiring faults. Make the elevator running more safe, convenient and comfortable. In the PLC course design, I have come up with a three layers of elevator group control system, and the Siemens S7-200 series programmable controller and its application and combining, after completing the curriculum, electrical control and PLC application we in the design process is relatively with ease, not from the beginning. The whole process including the solution discussion, program design, program changes, computer debugging, etc., spent more time on program design, main consideration to the elevator stop on the first floor, respectively the second and third floors in other situations such as floor call. Whenever encounter difficulties, I actively

电梯的电气控制系统设计与实现(通用版)

Safety is the goal, prevention is the means, and achieving or realizing the goal of safety is the basic connotation of safety prevention. (安全管理) 单位:___________________ 姓名:___________________ 日期:___________________ 电梯的电气控制系统设计与实现 (通用版)

电梯的电气控制系统设计与实现(通用版)导语:做好准备和保护,以应付攻击或者避免受害,从而使被保护对象处于没有危险、不受侵害、不出现事故的安全状态。显而易见,安全是目的,防范是手段,通过防范的手段达到或实现安全的目的,就是安全防范的基本内涵。 电梯是当前高层建筑不可缺少的垂直方向的交通运输工具,随着计算机及微电子技术的快速发展,电梯控制技术发生了巨大变化,其中PLC控制系统代替传统的继电器控制以及电梯采用了对电动机实现线性调速的调压调频技术,能达到电梯安全平稳运行。 随着人们生活水平的提高及高层建筑的普及,电梯是当前高层建筑不可缺少的垂直方向的交通工具,电梯是集机电一体的复杂系统,涉及机械传动、电气控制和土建等工程领域多种领域专业与一体的综合技术。随着社会的发展及对安全的重视,在设计电梯的时候,应具有高度的安全性。这样就对建筑内的电梯的调速精度、调速范围等静态和动态特性提出了更高的要求。当前由可编程序控制器(PLC)和微机组成的电梯运行逻辑控制系统具有可靠性高、维护方便、开发周期短,对机械零部件和电器元件都采取了很大的安全系数和保险系数。电梯的控制是相对比较复杂的,PLC可编程控制器把机械与电气部件有机地结合在一个设备内,把仪表、电子和计算机的功能综合在一起,使得

西门子PLC控制的五层电梯系统

电梯控制系统 The elevator control system

论文摘要 本文介绍一种电梯PLC控制系统。电梯是垂直方向的运输设备,是高层建筑中不可缺少的交通运输设备。它靠电力,拖动一个可以载人或物的轿厢,在建筑的井道内导轨上做垂直升降运动,在人们生活中起着举足轻重的作用。而控制电梯运行的PLC系统也要求越来越高,要求达到电梯运行的“稳、准、快”的运行目的。该系统主要由PLC、逻辑控制电路组成。其中包括交流异步电动机、继电器、接触器、行程开关、按钮、发光指示器和变频器组成为一体的控制系统。本机控制单元采用以西门子的可编程控制器PLC对机器进行全过程控制。 整个系统通过PLC、逻辑控制电路对电梯的升降;加、减速;平层;起动、制动控制。其结构简单、运行效率高、平层精度高、易于理解与掌握。 关键词: PLC控制系统电梯逻辑控制电路变频器

This text introduces the control system of a kind of elevator PLC. The elevator is perpendicular directional of the conveyance equipments be indispensable in the high building of transportation equipments. It depends electric power, dragging along to move a car that can carry person or thing and lead a track in the building of the well way up do perpendicularity to ascend and descend sport, there is prominent function in the people's life. And the control elevator circulate of the PLC system also has more and more high request, request to attain the movement purpose of "steady, quasi-, quick" of elevator movement. That system mainly from PLC, logic control the electric circuit constitute. Include an exchanges difference to tread electric motor among them, after the electric appliances, get in touch with a machine, route of travel switch and press button, give out light the indicator constitute and transducer for the control system of integral whole. The this machine control unit adoption carries on whole process a control to the machine by the programmable controller PLC of Siemens company. The whole system passes PLC, logic control the electric circuit is to the rise and fall of elevator; Add, decelerate; Even layer; Start, make to move a control. Its structure is simple and circulate an efficiency, even layer accuracy, be easy to comprehension and control. Key Words: The PLC controls system ,elevator ,The logic controls electric circuit,transducer

PLC电梯控制系统文献综述

毕业设计(论文) 文献综述 设计(论文)题目:四层电梯PLC控制系统设计 学院名称:机械工程学院 专业:机械设计制造及其自动化 学生姓名:学号: 指导教师: 2012年12 月25 日 一、前言 随着我国经济的发展,城市中涌现出越来越多的高层建筑,而与之配套的电梯已成为人们日常生活中不可缺少的工具。同时,由于城市老龄化问题的日益突出,多层建筑同样也有使用电梯的要求。电梯作为现代智能建筑的代步工具,方便了人们的生活、节省了时间和体力,也越来越显示出它的重要作用。电梯质量

的好坏在很大程度上取决于它的控制系统。传统的电梯自动控制系统由继电器——接触器进行控制,其缺点是触点多、接线复杂、故障率高、可靠性差、维修工作量大等。而采用PLC组成的控制系统很好地解决上述问题,它具有工作可靠性高、灵活性和通用性高、编程简单、使用方便、抗干扰能力强等优点,它使电梯运行更加安全、方便。因此,开发设计由PLC组成的控制系统是非常有必要的。 二、电梯的发展历史 人类利用升降工具运输货物、人员的历史非常悠久。早在公元前2600年,埃及人在建造金字塔时就使用了最原始的升降系统,这套系统的基本原理至今仍无变化:即一个平衡物下降的同时,负载平台上升。早期的升降工具基本以人力为动力。1203年,在法国海岸边的一个修道院里安装了一台以驴子为动力的起重机,这才结束了用人力运送重物的历史。英国科学家瓦特发明蒸汽机后,起重机装置开始采用蒸汽为动力。紧随其后,威廉?汤姆逊研制出用液压驱动的升降梯,液压的介质是水。在这些升降梯的基础上,一代又一代富有创新精神的工程师们在不断改进升降梯的技术。然而,一个关键的安全问题始终没有得到解决,那就是一旦升降梯拉升缆绳发生断裂时,负载平台就一定会发生坠毁事故。 生活在继续,科技在发展,电梯也在进步。150年来,电梯的材质由黑白到彩色,样式由直式到斜式,在操纵控制方面更是步步出新——手柄开关操纵、按钮控制、信号控制、集选控制、人机对话等等,多台电梯还出现了并联控制,智能群控;双层轿厢电梯展示出节省井道空间,提升运输能力的优势;变速式自动人行道扶梯的出现大大节省了行人的时间;不同外形——扇形、三角形、半菱形、半圆形、整圆形的观光电梯则使身处其中的乘客的视线不再封闭。如今,以美国奥的斯公司为代表的世界各大著名电梯公司各展风姿,仍在继续进行电梯新品的研发,并不断完善维修和保养服务系统。调频门控、智能远程监控、主机节能、控制柜低噪音耐用、复合钢带环保——一款款集纳了人类在机械、电子、光学等领域最新科研成果的新型电梯竞相问世,冷冰冰的建筑因此散射出人性的光辉,人们的生活因此变得更加美好。 当今世界上最大的电梯生产企业是美国的奥蒂斯电梯公司,其产量约占世界电梯产量的25%。此外,瑞士迅达电梯公司、芬兰柯尼电梯公司及后起之秀的日本日立、三菱公司在国际电梯业也有一定的声誉。 1900年,美国奥蒂斯电梯公司通过代理商Tullock & Co.获得在中国的第1份电梯合同——为提供2台电梯。从此,世界电梯历史上展开了中国的一页。 据统计,中国在用电梯34.6多万台,每年还以约5万~6万台的速度增长。电梯服务中国已有100 多年历史,而中国在用电梯数量的快速增长却发生在改革开放以后,目前中国电梯技术水平已与世界同步。

最新四层电梯的自动控制系统及模拟系统设计

四层电梯的自动控制系统及模拟系统设计

陕西国防工业职业技术学院 SHAANXI INSTITUTE OF TECHNOLOGY 毕业设计说明书 题目四层电梯的自动控制系统及模拟系统

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段

保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

电梯控制系统设计方案

上海四景计算机信息科技有限公司 电 梯 控 制 系 统 方 案

上海四景计算机信息科技有限公司 舒特电梯智能控制系统 ---楼宇自动化的首选 前言: 系统概述: 随着高科技的蓬勃发展,智能化管理已经走进了人们的生活。物业管理公司或管理人员为了能对楼宇内各种人员的进出进行更有效、更安全的管理,有效的控制闲杂人员的进入,可以通过采用对电梯的合理控制实现这种功能需求。所有的电梯楼层,都必须先经过系统管理员授权。使用电梯时,不同的人有不同的权限分配,每个进入电梯的人经过授权可以进入指定的区域或楼层,并且可以根据时间表进行授权管理。未经授权,无法进入管理区域的楼层,并对重要楼层进行时间段控制。控制器不管是脱机运行还是联机控制,都可记录大量的交易数据,使得电梯的所有人员进出记录都有据可寻。针对这些需求我们开发了电梯楼层控制器,并分为手动型和自动型两款,客户可以根据需求选择适合自己的产品。 通过智能卡管理电梯运行,可将闲杂人员阻止在电梯之外;同时,又起到了电梯省电省空耗的环保作用;也减少了出现电梯按键失灵的情况;延长了电梯使用寿命;加强了传统安全管理系统中管理的薄弱的一面;提高了物业的安全等级,电梯系统智能化控制已逐渐成为智能化建筑楼宇中必不可少弱电系统之一 二、选择使用电梯控制系统带来的好处 (一)使用梯控制系统可有效的控制闲杂人员的进入,可以通过采用对电梯的合理控制实现各种功能需求。 (二)使用梯控制系统能够提高楼盘的整体智能化程度,提升楼盘亮点和档次,充分体现智能化楼宇和智能化小区意义,是楼盘更具附加值。 (三)使用梯控制系统能够使公共电梯轻松晋级为私有电梯,能够让业主充分体现私有电梯的尊贵和方便性。 (四)使用梯控制系统能够为用户提供更方便和更公平使用。 (五)协助收取物业费 管理人员可对系统的用户卡设定使用权限,设定失效日期,便于控制管理费用的收取。 如用户使用到达使用的失效时间,则不能开梯,提醒并促使用户到达管理处及时缴费,对于不按时交纳物业费的业主,则不能使用电梯,有效的将管理费用与用户使用权限挂

PLC对升降电梯的控制

第一章引言 自1889年美国奥梯斯升降机公司推出世界第一部以电动机为动力的升降机以来,电梯在驱动方式上经历了卷筒式驱动、牵引式驱动等历程,逐渐形成了直流电机拖动和交流电机拖动两种不同的拖动方式。如今电梯已成为人们进出高层建筑不可或缺的代步工具;而且作为载人工具,人们在运行的平滑性、高速性、准确性、高效性等一系列静、动态性能方面对它提出了更高的要求。由于早期的电梯继电器控制方式存在故障率较高、可靠性差、接线复杂、一旦接收完成不易更改等缺点,所以需要开发一种安全、高效的控制方式。可编程控制器(PLC)既保留了继电器控制系统的简单易懂、控制精度高、可靠性好、控制程序可随工艺改变、易于与计算机接口、维修方便等诸多高品质性能。因此,PLC在电梯控制领域得到了广泛而深入的应用。 随着微电子技术和计算机技术的迅速发展,PLC(即可编程控制器)在工业控制领域内得到十分广泛地应用。PLC是一种基于数字计算机技术、专为在工业环境下应用而设计的电子控制装置,它采用可编程序的存储器,用来存储用户指令,通过数字或模拟的输入/输出,完成一系列逻辑、顺序、定时、记数、运算等确定的功能,来控制各种类型的机电一体化设备和生产过程。 电梯是随着高层建筑的兴建而发展起来的一种垂直运输工具。多层厂房和多层仓库需要有货梯;高层住宅需要有住宅梯;百货大楼和宾馆需要有客梯,自动扶梯......。在现代社会,电梯已像汽车、轮船一样,成为人类不可缺少的交通运输工具。据统计,美国每天乘电梯的人次多于乘载其它交通工具的人数。当今世界,电梯的使用量已成为衡量现代化程度的标志之一。追溯电梯这种升降设备的历史,据说它起源于公元前236年的古希腊。当时有个叫阿基米德的人设计出-----人力驱动的卷筒式卷扬机。1858年以蒸汽机为动力的客梯,在美国出现,继而有在英国出现水压梯。1889年美国的奥梯斯电梯公司首先使用电动机作为电梯动力,这才出现名副其实的电梯,并使电梯趋于实用化。1900年还出现了第一台自动扶梯。1949年出现了群控电梯,首批4~6台群控电梯在纽约的联合国大厦被使用。1955年出现了小型计算机(真空管)控制电梯。1962年美国出现了速度达8米/秒的超高速电梯。1963年一些先进工业国只成了无触点半导体逻辑控制电梯。1967年可控硅应用于电梯,使电梯的拖动系统筒化,性能提高。1971年集成电路被应用于电梯。第二年又出现了数控电梯。1976年微处理机开始用于电梯,使电梯的电气控制进入了一个新的发展时期。

智能电梯控制系统设计

湖南文理学院 课程设计报告 课程名称:自动化系统课程设计专业班级:自动化11班学号 学生姓名: 指导教师: 完成时间:2014年11月20日报告成绩:

目录

一、设计题目 智能电梯控制系统设计 二、设计要求 利用PLC与变频器实现电梯的变频调速控制,该电梯控制系统具有同时呼梯控制、各楼层单独呼梯控制、上升、下降运行控制、轿厢位置显示等功能,电梯至少五层以上。 三、电梯控制系统控制系统设计作用与目的 随着我国经济的高速发展,微电子技术、计算机技术和自动控制技术也得到了迅速发展,电梯也已成为人类现代生活中广泛使用的运输工具。随着人们对电梯运行的安全性、舒适性等要求的提高,电梯得到了快速发展,其拖动技术已经发展到了调频调压调速,其逻辑控制也由PLC代替原来的继电器控制。 可编程控制器(PLC)因为稳定可靠、结构简单、成本低廉、简单易学、功能强大和使用方便已经成为应用最广泛的通用工业控制装置,成为当代工业自动化的主要支柱之一。电梯控制要求接入设备使用简便,对应系统组态的编程简单,具有人性化的人机界面,配备应用程序库,加快编程和调试速度。通过PLC对程序设计,提高了电梯的控制水平,并改善了电梯的电梯运行的舒适感。本文争对以上优点,对电梯运行进行了改进,使其达到了比较理想的控制效果。 四、所用设备及软件 本设计除了需要计算机,实验设备THPFSL-1/2还会用到两款软件:作图软件Altim Desinger、编程软件GX-developer。简介如表1所示。 表1 软件简介

系统总体结构原理图 主控制器是整个电梯的核心。不但要保证整个系统的稳定运行,而且要在极短的时间内对系统所有的任务进行响应。 其任务包括:接收、处理电梯的各种状态,并做出相应的动作,控制电梯的总体运行,实施对电梯驱动部分的控制,包括抱闸的松放、门机的开关、变频器低、中、高速的给出等控制。接收轿厢控制器送来的内选信号,执行内选外呼指令,向轿厢控制器、呼梯控制器发送楼层指示信号,实施安全保护等。为了实现电梯状态监控的需要,主控制器还加入了基于LCD显示的电梯参数设置、监控系统。 程序流程图

基于单片机的智能电梯控制系统设计

基于单片机的智能电梯控制系统设计

摘要 本文介绍了一种采用单片机STC89C52芯片进行电梯控制系统的设计方法,主要阐述如何使用单片机进行编程来实现四层电梯的智能控制,利用单片机编程简洁而又多变的设计方法,缩短了研发周期,同时使电梯控制系统体积更小功能更强大。本设计实现了电梯控制系统所需的一些基本功能,能通过电梯内按键或者电梯外上升、下降按键选择楼层,数码管显示实时楼层数,LED显示实时电梯运行状态。原理图和PCB部分采用protel99se专业软件来设计,实现将设计产品化。本次设计更注重了把一些新的思路加入到设计中。主要包括采用了STC89C52芯片,使用C语言进行编程,使其具有了更强的移植性,更加利于产品升级。 关键词:STC89C52;电梯控制系统;protel99se;C语言

Abstract This paper introduces a design method of using STC89C52 chip for elevator control system, mainly describes how to use microcontroller programming to achieve the intelligent four storey elevator control, the design method of microcontroller programming simple and variable, shortens the development cycle, at the same time that the elevator control system smaller and more powerful. Some of the basic functions of the design and implementation of elevator control system required by the elevator, elevator buttons or rise, decline the key to select the floor, digital tube display real-time number of floors, LED display real-time operating state of elevator. Schematic and PCB design using Protel99SE software, the design of products. This design pays more attention to some new ideas into the design. Including the use of the STC89C52 chip, the use of C language programming, which has portability stronger, more conducive to the upgrading of products. Keywords: STC89C52; elevator control system; Protel99SE; C language

电梯控制系统设计设计说明

电梯控制系统设计设计说明

第 1 页共 3 页 编号: 毕业设计说明书 题目:电梯控制系统设计 院(系):电子工程与自动化学院 专业:电子信息科学与技术专业 学生姓名: 学号:0900840218 指导教师:李莉 职称:讲师 题目类型:理论研究实验研究工程设计√软件开发 2013年5月20日

第 3 页共 39 页 摘要 本设计主要利用AT89S52单片机,实现电梯控制系统的设计。单片机与电机驱动电路的结合完成了电梯基本的升降、楼层停靠、方向选择、时间控制等基本功能,研究并实现了在上位机的模式下通过LABVIEW的远程监测的方法,完成了系统样机的设计与制作。 本设计参照了通用电梯的设计标准,有良好的操作界面和通用的外部接口,具有人性化设计,实现较好的外设兼容性。同时在系统样机中完成的其它设计研究还包括,利用LED和蜂鸣器组成的简单电路实现电梯意外声光报警、利用数码管实现电梯楼层显示,利用4x4矩阵键盘实现电梯楼层按键选择,利用LED实现目的楼层的指示,利用MAX232串口电路实现串口通信,来监测电梯实时状态。样机使用的主要器件包括低功耗、高性能的AT89S52单片机,低功耗、低成本、低电压的MAX232,双全桥电机专用驱动芯片L298,共阴极八段数码管,4x4矩阵键盘等,通过比较合理的设计使样机系统基本达到了任务要求,并具有很高的性价比,硬件设计简单可靠。软件部分使用keil软件进行C语言程序编写,用proteus 7软件进行仿真调试。本设计中综合使用了数字电路、模拟电路、高频电路、单片机及编程、硬件逻辑描述、LABVIEW及其应用以及计算机辅助设计(CAD)等多方面的知识,软硬件结合,很好地完成了本科毕业设计任务要求并取得了良好的学习效果。 关键词:AT89C52;单片机;电梯控制系统; C语言

(24)基于PIC单片机的电梯升降系统

一引言 本项设计是设计并制作具有8层控制能力的电梯升降系统。由于普通电机不能准确起动和停止和控制旋转角度等缺陷,本设计电机采用三相步进电机作为动力源。根据当前电梯内外两个控制系统收集电梯内外的人员流向并将数据汇总交由中央运算控制器运算产生下一个步骤电梯将要前往的楼层,并将相关楼层数据交由专门的步进电机控制器。步进电机控制器控制电机到达指定楼层。与此同时中央运算控制器还会将目标楼层信号交由LCD显示器显示。 二、系统设计 (一)设计原理 电梯升降原理是利用步进电机在控制器的驱动下旋转固定角度到达指定楼层的即: 高度H=R×Φ 楼层数N=H÷L 式中L为单位楼层的高度;R为步进电机的转轴半径;Φ为步进电机旋转的角度。 (二)设计思想及设计方案 电梯升降控制的方法有多种,电机也是多种多样,步进电机能准确的旋转指定角度并具有锁死功能是一种理想的电梯驱动电机。本文介绍的是用PIC单片机系统来进行控制步进电机升降从而模拟出电梯的功能。 该系统是一个数据采集、数据处理、数据反馈系统,对于按键信号的采集和处理采用MICROCHIP公司的MCU PIC16F877和PIC16F877和共同完成,该MCU集成模块多,驱动能力强,I/O资源丰富,指令集简单等特点很适合本系统。 本系统采用了多CPU协同操作,这样简化明晰了各个模块的功能,便于调试和维护。四个模块分别为:电梯外控制模块,电梯内控制模块,中央运算模块,步进电机控制模块。 电梯内、外控制模块:完成对电梯外部8个楼层的按键识别,并点亮与用户按键对应的指示灯通知用语系统已经接收按键请求。当接收到请求后,该模块将向中央运算器发送请求楼层信号,便于中央运算处理。同时当电梯到达当前楼层时该模块还将熄灭相应的指示灯。 中央运算模块:负责对电梯外控制模块,电梯内控制模块,传过来的信息进行分类收集,按照给定的算法做出相的计算和任务调度,并将运算结果交由步进电机控制模块,同时,中央运算模块将相应楼层送入液晶显示器显示。

升降电梯控制系统设计

垂直升降电梯控制系统的分析 1引言 随着城市建设的不断发展,高层建筑的不断增多,电梯作为高层建筑中垂直运行的交通工具已与人们的日常生活密不可分。日前电梯的控制普遍采用了两种方式,一是采用微机作为信号控制单元,完成电梯信号的采集、运行状态和功能的设定,实现电梯的自动调度和集选运行功能,拖动控制则由变频器来完成;第二种控制方式用可编程控制器取代微机实现信号控制。从控制方式和性能上来说,这两种方法并没有太大的区别。PLC可靠性高,程序设计方便灵活。本设计在用尸LC控制变频调速实现电流、速度双闭环的基础上,在不增加硬件设备的条件下,实现电流、速度、位移三环控制。 2硬件电路 2.1硬件结构 PLC为西门子公司S7-200系列CPU221,PLC接受来自操纵盘和每层呼梯盒的召唤信号、轿厢和门系统的功能信号以及井道和变频器的状态信号,经程序判断与运算实现电梯的集选控制。PLC在输出显示和监控信号的同时,向变频器发出运行方向、启动、加/减速运行和制动电梯等信号C 2. 2电流、速度双闭环电路 采用YASAKWA公司的VS一61665 CIM- RG5A 4022变频器。变频器本身设有电流检测装置,由此构成电流闭环:通过和电机同轴联结的旋转编码器,产生a, b两相脉冲进入变频器,在确认方向的同时,利川脉冲计数构成速度闭环。 3位移和运行曲线控制 电梯作为一种载人工具,在位势负载状态下,除要求安全可靠外,还要求运行平稳,乘坐舒适,停靠准确,理想的运行曲线3. 1位移控制采用变频调速双环控制可基本满足要求,但和国外高性能电梯相比还需进一步改进。本设计一正是基于这一想法,利用现有旋转编码器构成速度环的同时,通过变频器的尸G卡输出与电机速度及电梯位移成比例的脉冲数,将其引入尸LC的高速计数输入端口0000通过累计脉冲数,经式(1)计算出脉冲当量,由此确定电梯位置。 3.2速度控制 本方法是利用PLC扩展功能模块D/A模块实现的,事先将数字化的理想速度曲线存入尸LC寄存器,程序运行时,通过查表方。一忆写入D/A,由D/A转换成模拟量后将理想曲线输出。 3. 2. 1加速给定曲线的产生 6位。/A输出0-5V/0-V 1 OV,对应数字值人16进制数00--FF,共255级。东洋电梯加速实践在2. 5-3秒之问。按保守值计算,电梯加速过程中每次查表的时间间隔不亘超过1 Oms o 由于电梯逻辑控制部分程序最大,而尸LC运行采用周期扫描机制,因而采用通常的查表方法,每次查表的指令时间间隔过长,不能满足给定曲线的精度要求。在PLC运行过程中,其CPU与各设备之间的信息交换、用户程序的执行、信号采集、控制量的输出等操作都是按照固定的顺序以循环扫描的方式进行的,每个循环都要对所有功能进行查询、判断和操作。在一个周期内,CPU对整个用户程序只执行一遍。这种机制有其方便的一面,但实时性差。过长的扫描时间,直接影响系统对信号响应的效果,在保证控制功能的前提下,最大限度地缩短CPU的周期扫描时间是一个很复杂的问题。一般只能从用户程序执行时间最短采取方法。电梯逻辑控制部分的程序扫描时间已超过10ms,尽管采取了1些减少程序扫描时间的办法,但仍无法将扫描时间降到1 Oms以下。同时,制动段曲线采用按距离原则,每段距离到的响应时间也不宜超过

基于PLC电梯控制系统毕业设计论文

本科学生毕业设计(论文) 毕业论文 课题名称:基于PLC的四层电梯控制 班级:07自动化2 学号:08 姓名: 指导教师: 信息工程系

论文摘要 本文介绍一种电梯PLC控制系统。电梯是垂直方向的运输设备,是高层建筑中不可缺少的交通运输设备。它靠电力,拖动一个可以载人或物的轿厢,在建筑的井道内导轨上做垂直升降运动,在人们生活中起着举足轻重的作用。而控制电梯运行的PLC系统也要求越来越高,要求达到电梯运行的“稳、准、快”的运行目的。该系统主要由PLC、逻辑控制电路组成。其中包括交流异步电动机、继电器、接触器、行程开关、按钮、发光指示器和变频器组成为一体的控制系统。本机控制单元采用以三菱公司的可编程控制器PLC对机器进行全过程控制。 整个系统通过PLC、逻辑控制电路对电梯的升降;加、减速;平层;起动、制动控制。其结构简单、运行效率高、平层精度高、易于理解与掌握。

目录 论文摘要 (1) 第四章电梯的电气控制系统 (5) 4.1概述 (5) 4.2电梯电气控制系统中的主要电器部件 (5) 4.3电梯自动控制系统中的各主要控制环节及结构原理 (6) 4.3.1 各类电梯安全可靠运行的充分与必要条件 (6) 4.3.2 电梯自动开关门的控制环节 (6) 4.3.3 电梯的方向控制环节 (7) 4.3.4 发生制动减速信号的控制环节 (9) 4.3.5 主驱动控制环节 (10) 4.3.6 电梯的安全保护环节 (10) 4.4电梯的内外召唤指令的登记与消除 (12) 4.4.1 召唤指令信号登记记忆线路的原理说明 (13) 4.4.2 轿内信号的登记、记忆与消除 (14) 4.4.3 层外召唤信号的登记记忆与消除 (15) 4.5电梯的信号指示系统 (16) 4.5.1 数码显示的层楼指示灯 (16) 4.5.2 运行方向灯、轿内指令及厅外召唤信号灯 (16) 4.5.3 超载信号指示灯及音响 (17) 4.6电梯的消防控制系统 (18) 4.6.1 电梯控制系统中适应消防控制的几个基本要求 (18) 4.6.2 消防控制系统的类型及工作原理 (19) 4.7交流信号控制电梯线路原理说明 (20) 4.7.1 概况 (20) 4.7.2 电梯投入使用和撤出使用 (20) 4.7.3 自动开关门 (20) 4.7.4 电梯的启动,加速和满速运行,制动减速,停车和开门 (21) 4.7.5 指令信号登记,记忆和消除 (22) 4.7.6 电梯的安全保护 (22) 第五章结论 (23) 参考文献 (55) 附录一I/O分配表 (56) 附录二交流双速电梯线路图元件代号说明 (57)

相关主题
文本预览
相关文档 最新文档