当前位置:文档之家› 2013-学生用SOPC实验指导书(EP3C16Q240C8)

2013-学生用SOPC实验指导书(EP3C16Q240C8)

2013-学生用SOPC实验指导书(EP3C16Q240C8)
2013-学生用SOPC实验指导书(EP3C16Q240C8)

SOPC综合实验系统

实验指导书

济南大学自动化学院

责任编辑:济南大学信息学院电子信息中心

目录

目录 (1)

第一章系统的硬件单元及使用说明 (3)

一、本实验箱的结构特点 (3)

1、系统构成 (3)

2、创新设计应用领域 (3)

3、适用专业范围 (4)

二、各模块电路说明 (4)

1、数码管显示模块 (4)

2、AD 转换模块 (5)

3、DA 转换模块 (5)

4、以太网模块 (6)

5、VGA接口模块 (6)

6、PS/2接口模块 (7)

7、串行接口模块 (7)

8、开关量输入输出模块 (7)

9、按键模块 (8)

10、LED 灯指示模块 (9)

11、音频接口模块 (9)

12、TFT液晶接口模块 (10)

13、USB接口电路 (10)

第二章QUARTUS II和NIOS II 软件的使用方法 (11)

一、Q UARTUS II软件的使用方法 (11)

第三章VHDL基本程序设计实验 (22)

实验一组合逻辑半加器的设计 (21)

实验二使用VHDL设计组合逻辑全加器 (28)

实验三带进位输入的8位加法器 (33)

实验四全减器 (37)

实验五四位向量加法/减法器 (41)

实验六组合逻辑3-8译码器的设计 (43)

实验七数据比较器 (47)

实验八多路数据选择器 (50)

实验九编码器 (54)

实验十计数器 (59)

第一章系统的硬件单元及使用说明

一、本实验箱的结构特点

1、系统构成

SOPC综合实验系统开发实验平台采用ALTERA Cyclone III EP3C16处理器,集众多功能于一体,采用全新的“主控制板+……+核心板+平台主板”自由组合式结构。根据实验研发需求,可实现基于ALTERA、XILINX、ACTEL、Lattice等厂家的软核/硬核处理器相结合的嵌入式系统设计。本实验系统实验平台功能强大,硬件接口丰富,平台嵌入式软件除支持C、C++语言开发之外,还支持uC/OS II、uClinux嵌入式操作系统。独特的核心板扩展接口可完美实现核心板与核心板、核心板与平台主板之间无缝连接,实现复杂的系统功能。用户可根据需求,选择SOPC 和CPLD组合、单片机和SOPC的组合……通过模式转换功能,不同的硬件模块间,可实现多种独立的具有特色的综合模块组合。平台优异的综合性设计大大提高了开发平台的利用率,是SOPC、EDA、SOC、单片机相互结合的实验教学、电子系统设计创新实验室、嵌入式系统实验室、科研开发最理想的选择,同时也是各高等院校参加电子设计大赛最理想的创新开发训练平台。

本研究中心一直致力于技术创新,不遗余力地支持企事业单位以及高校教育事业的发展并推进其科研机构研发能力的提升。为了满足不同的设计需求,一切从设计出发,综合创新开发实验平台目前已配套开发出近20种扩展核心开发板。核心开发板自成系统,功能强大,实用性强,可采用如下三种方式完成系统设计开发:

主控制板自成嵌入式系统,所提供的硬件资源丰富,标准工业级多层板设计,可脱离实验箱硬件平台,独立开发使用。

核心板与主控制板实验箱平台配套开发使用,除了提供更为丰富的硬件平台接口资源以外,还为用户提供可扩展的自由定制的特色模块,灵活性强,可实现更多、更强、更有创意的综合系统功能。

主控制芯片与主控制板上下叠加结合使用,国内独创,根据国际嵌入式技术的发展可以随时更换主流的控制芯片,可完美实现各种电子系统板级创新设计构想,拓宽嵌入式软硬件设计视野,训练出一流的高科技人才。

2、创新设计应用领域

基于CPLD/FPGA和VHDL/Verilog的普通和典型EDA实验与开发;

基于SOPC的Nios II嵌入式系统实验与开发;

经典8051/89C51单片机IP核开发应用;

普通单片机与FPGA综合实验与开发;

现代计算机组成原理实验;

Altera SOPC与DSP的高级创新应用开发;

基于ALTERA Nios II多核32位处理器的创新应用开发;

ALTERA Nios II核心板与Nios II核心板之间的创新开发应用;

ALTERA Nios II核心板与Xilinx Microblaze核心板之间的并行创新开发应用;

3、适用专业范围

本系统综合创新开发实验平台专为电子系统级产品设计、嵌入式软硬件设计、EDA基础教学、IP Core开发与验证(包含8/16/32位CPU处理器设计)、DSP图像/通讯创新开发设计……适用于计算机和电子类等专业本科生、研究生、博士生及全国相关各科研院所、企业单位,如计算机科学、微电子、音视频与多媒体教学、现代计算机组成原理、通信、信息技术与仪器仪表、电子工程、机电一体化、自动化等相关专业。

二、各模块电路说明

1、数码管显示模块

模块说明:

数码管的断信号由FPGA直接驱动,JP3,JP4代表两个共阳极数码管的A、B、C、D、E、F、Dp段;数据0、1、2、3、4、5、6、7、8、9对应的断码分别为:0xC0、0XF9、0xA4、0xB0、0x99、0x92、0x82、0xF8、0x80、0x90 。

济南大学SOPC综合实验系统实验指导书2、AD 转换模块

模块说明:

此模块设计了两种AD转换器件:

AD9288是采用了并行双通道独立8位、高速采样(100MHZ)的A/D器件,模拟信号分别通过INPUT_A、INPUT_B输入,时钟输入采用FPGA控制的10-100MHZ时钟信号,数据采用8位并行输出。FPGA控制采样率,此实验可以很快的验证采样定律。注意在使用该模块的过程中应该将入信号应该为调节到0到1V的电压范围内的高频交流信号。

AD7920是12位高速串行AD,时钟输入信号0—3M,电压输入为0—3.3v范围内直流电压。

3、DA 转换模块

模块说明:

ADV7120是美国ADI公司出品的高速数模转换电路,在单芯片上集成了3个独立的8位高速D/A转换器,特别是用于高速D/A转换的应用系统。J11、J12、J13作为FPGA数据输入端口分别对应DA_OUT_1、DA_OUT_2、DA_OUT_3三路模拟电压输出。

4、以太网模块

模块说明:

该模块为百兆以太网设计模块,FPGA通过排线连接J2、J3、J4对以太网数据进行读写和控制。

5、VGA接口模块

模块说明:

上电时CPLD内部逻辑会自动加载存储器信息控制VGA显示时序和图像,实验者,只需要由FPGA通过数据线和地址线对存储器单元进行操作。

6、PS/2接口模块

模块说明:

该模块设计有两个PS/2接口,都可以接PS/2设备,其时钟线和数据线通过排线与FPGA相连。

7、串行接口模块

模块说明:

该模块设计了两个RS232串行接口,可以用于FPGA与其他设备进行数据通信。其中J7与H301为COM1输入输出口,J8与H302为COM2舒入输出口。

8、开关量输入输出模块

模块说明:

S1—s8是带自锁的单刀单执拨码开关,在开关未拨动时是低电平,拨动时J1为高电平并且保持高电平不变,只有回拨开关时J1才恢复低电平输入。J1通过排线与其他模块相连接。

9、按键模块

模块说明:

此模块共有8个按键,BUTTON1—BUTTON8是轻触按键;在按键未按下时JP6为高电平输入,按键按下后JP6对FPGA输入低电平,松开按键后恢复高电平输入。JP6通过排线与FPGA 相连接。

10、LED 灯指示模块

模块说明:

该模块有8个LED指示灯,在使用时候只需要用排线连接JP5和FPGA连接,FPGA输出低电平时指示灯亮。

11、音频接口模块

模块说明:

J1通过排线与FPGA相连接处理音频数据,H1接口为左右声道输出,H2为MIC输入。12、TFT液晶接口模块

模块说明:

本模块为真彩触摸屏显示实验,P3 为TFT控制接口,P5和P6接口为触摸信号输出模式分别为SPI模式和串口模式。

13、USB接口电路

模块说明:

本模块中J5,J6 通过排线与FPGA相连,其中J5为USB数据,J6为控制线。

第二章Quartus II软件的使用方法

一、Quartus II软件的使用方法

1、创建工程

(1)运行quartus II软件,如下图:

(2)建立工程,File New Project Wizad,既弹出“工程设置”对话框,如下图:

(3)单击此对话框最上一栏右侧的“...”按钮,在D盘建一个工程文件夹,取名test。单击“打开”,在第二行和第三行中填写为“half_adder”,按Next按钮,出现添加工程文件的对话框,如下图:

这里我们先不管它,直接按next进行下一步,选择FPGA器件的型号,如下图:

(4)在Family下拉框中,我们选择CycloneIII系列FPGA,选择此系列的具体芯片EP3C16Q240C8。

执行next出现选择其它EDA工具对话框,我们用Quartus II的集成环境进行开发,因此这里不作任何改动,按next进入工程的信息总概对话框:

(5)按Finish按钮即建立一个项目。

2、建立顶层图

(1)执行File New,弹出新建文件对话框,如下图:

选择“Block Diagram Schematic File”按OK即建立一个空的顶层图,缺省名为“Block1.bdf”,我们把它另存为(File Save as),接受默认的文件名,并将“Create new project based on this file”选项选上,以使该文件添加到工程中去,如下图:

(2)添加逻辑元件(Symbol)

双击顶层图图纸的空白处,弹出添加元件的对话框,如下图:

在libraries里寻找所需要的逻辑元件,如果知道逻辑元件的名称的话,也可以直接在Name 一栏敲入名字,右边的预览图即可显示元件的外观,按OK后鼠标旁边即拖着一个元件符号,在图纸上点击左键,元件即安放在图纸上。在图纸上分别安放异或门(xor),与门(and2),输入(input),输出(output)。

(3)连线

将鼠标移到symbol连线端口上,鼠标变成图示形状,按下左键拖动鼠标到另一个symbol的

连线端。双击输入输出符号名字“pin_name”,将它们修改为a,b,s,co,如下图:

(4)设置

在建立工程时我们选定了芯片型号,也可以在这一步设定,在菜单Assignments Device,弹出对话框,如下图:

(5)编译

按主工具栏上的编译按钮即开始编译,Message窗口会显示一些编译信息,最后编译成功弹出提示,如下图:

3、仿真

对工程编译通过后,必须对其功能和时序性质进行仿真测试,以了解设计结果是否满足原设计要求。具体步骤如下:

(1)时序仿真

打开波形编辑器。选择菜单File中的New项,在New窗口中选择Other Files中的Vector Waveform File项,如下图所示:

单击OK按钮,即出现空白的波形编辑器,设置仿真时间区域。对于时序仿真来说,将仿真时间轴设置在一个合理的时间区域上十分重要。通常设置的时间范围在数十微秒间。

在Edit菜单中选择End Time项,在弹出的窗口中的Time栏处输入50,单位选择“us”,整个仿真域的时间即设定为50us,单击OK按钮,结束设置。

(2)波形文件存盘

选择File中的Save As项,将以默认名的波形文件存入文件夹中。

(3)将工程的端口信号节点选入波形编辑器中

方法是首先选择View菜单中的Utility Windows 项的Node Finder选项。在Filter框中选择Pins : all,然后单击List按钮。于是在下方的Nodes Found 窗口中出现设计中的工程的所有端口引脚名。用鼠标将重要的端口节点a,b,s,co分别拖到波形编辑窗口,结束后关闭Nodes Found 窗口,如下图所示:

(4)编辑输入波形(输入激励信号)

单击图示的输入信号a,使之变成蓝色条,在单击左列的时钟设置键,同理设置其他输入波形,如下图:

按编译键成功仿真出结果如下:

(5)功能仿真

选择processing simulator tool,出现以下对话框:

在simulation mode 选项里,选择functional,点击generate functional simulation netist。然后点击start,进行功能仿真,结果如下:

4、生成顶层原理图

file

creat/update

creat symbol files for current file 。建一空白原理图文件,按照上述调出元

件的步骤调出生成的半加器图如下:

5、分配管脚如下图所示

按照上图所示分配管脚,并保存,如下图示:

管脚分配完毕后,启动全编译,然后下载到FPGA 。首先点击 ”下载” 按钮,检测安装下载电缆(单击Hardware Setup)弹出对话框如图所示,选择Byteblaster II 下载电缆,关闭该对话框,然后载入并选中下载文件,点击Start 开始下载

7、连线

按照分配管脚的顺序使用排线将PIN-100,PIN-99分别接入拨码开关SW1和SW2,PIN78,

PIN-77 分别接LED1 和LED2 。然后手动拨动开关就可以观察半加器两位相加的效果。

材料_基于FPGA的SOPC流水灯演示实验

基于FPGA的SOPC演示实验 秦菁2012-07-10演示实验:流水灯 实验内容:将8位LED灯点亮,进行流水灯控制 实验步骤: (1)在Quartus II中建立工程 (2)用SOPC builder建立Nios系统模块 (3)在Quartus II中的图形编辑界面进行引脚连接工作等 (4)编译工程后下载到FPGA中 (5)在Nios II IDE中根据硬件建立软件工程 (6)编译后,经过简单设置下载到FPGA中进行调试、实验 随着嵌入式处理器、专用数字器件和DSP算法以IP核的形式嵌入FPGA中,以单片FPGA完成整个嵌入式系统数字部分的设计已经成为现实。此实验主要通过运用Altera公司提供的Nios II软核处理器,了解SOPC的基本概念和基于FPGA的嵌入式系统的开发方法,掌握SOPC硬件开发工具,软件调试工具的使用。下面主要从硬件和软件的部分进行介绍。 一、硬件部分设计 (1)运行Quartus II软件,选择File/New Project Wizard菜单,选择工程目录(自定义)、工程名以及顶层文件名为led_test,在选择器件设置对话框中选择目标器件为Cyclone系列的EP1C6Q240C8N,建立新工程。 (2)双击左侧Entitiy框中的器件名,弹出如下对话框,点击Device and pin options/Unused pins,在Reserved all unused pins中选择As input tri-stated。

(3)选择Tools/SOPC Builder菜单项,或者点击Quartus II软件右上方工具栏的,打开SOPC Builder程序。弹出Create New System对话框。在System Name 文本框中键入nios32(自拟,但与工程名不同),选择语言为VHDL,单击确定 (4)确认Device Family中我们选择的是Cyclone,系统频率为50MHz。如图: (5)下面开始添加系统需要的元件:Nios II32位CPU、JTAG UART Interface、led_pio、RAM。 (5.1)首先添加Nios II32位CPU:双击Nios II Processor,或单击选中后点击 Add按钮,然后在弹出的Nios II Processor设置对话框中设置添加CPU的参数,分别在Core Nios II和JTAG Debug Module选项中选择Nios II/e和level1,其他选项保持默认。Nios II有三种标准:经济型(Nios II/e)、标准型(Nios II/s)、 全功能型(Nios II/f)。本实验中选择经济型。

(完整版)离散数学实验指导书及其答案

实验一命题逻辑公式化简 【实验目的】加深对五个基本联结词(否定、合取、析取、条件、双条件)的理解、掌握利用基本等价公式化简公式的方法。 【实验内容】用化简命题逻辑公式的方法设计一个表决开关电路。 实验用例:用化简命题逻辑公式的方法设计一个 5 人表决开关电路,要求 3 人以上(含 3 人)同意则表决通过(表决开关亮)。 【实验原理和方法】 (1)写出5人表决开关电路真值表,从真值表得出5 人表决开关电路的主合取公式(或主析取公式),将公式化简成尽可能含五个基本联结词最少的等价公式。 (2)上面公式中的每一个联结词是一个开关元件,将它们定义成 C 语言中的函数。 (3)输入5人表决值(0或1),调用上面定义的函数,将5人表决开关电路真值表的等价公式写成一个函数表达式。 (4)输出函数表达式的结果,如果是1,则表明表决通过,否则表决不通过。 参考代码: #include int vote(int a,int b,int c,int d,int e) { // 五人中任取三人的不同的取法有10种。 i f( a&&b&&c || a&&b&&d || a&&b&&e || a&&c&&d || a&&c&&e || a&&d&&e || b&&c&&d || b&&c&&e || b&&d&&e || c&&d&&e) return 1; else return 0; } void main() { i nt a,b,c,d,e; printf(" 请输入第五个人的表决值(0 或1,空格分开):"); scanf ("%d%d%d%d%d",&a,&b,&c,&d,&e); i f(vote(a,b,c,d,e)) printf(" 很好,表决通过!\n"); else printf(" 遗憾,表决没有通过!\n"); } // 注:联结词不定义成函数,否则太繁 实验二命题逻辑推理 【实验目的】加深对命题逻辑推理方法的理解。【实验内容】用命题逻辑推理的方法解决逻辑

基础工业工程实验指导书(完整版)

实验1 流程程序分析 一、实验目的 1、学会用程序分析符号、记录并绘制某产品(或零件、服务)的流程程序图。 2、学会用“5W1H”分析(完成了什么?何处做?何时做?由谁做?如何做?为什么要这样做?)技术发掘问题,用“ECRS”原则来改进程序。 二、实验说明 1、流程程序分析是以产品或零件的加工全过程为对象,运用程序分析技巧对整个流程程序中的操作、搬运、贮存、检验、暂存五个方面加以记录和考查、分析。流程程序分析是对生产现场的宏观分析,但它比工艺流程更具体、内容更详细,用途更广泛。 2、运用“5W1H”提问技术,对“操作”、“搬运”、“贮存”、“检验”、“暂存”五个方面进行考查、逐项提问,从而达到考查、分析、发掘问题的目的。 3、在发掘问题的基础上,应用取消、合并、重排、简化四大原则来建立新的程序。 三、实验器材 电子天平、电子秒表、计算器、胶带台、胶带、胶水、记录板、A4纸、包装纸、物流箱等。 四、实验分组 5~6人一组,1人模拟顾客,1人模拟邮局业务员,1人使用记录板记录,1人使用电子秒表测时,其他人认真观察,做些辅助工作。 五、实验内容及步骤 本实验模拟邮局邮包发送流程,可参考下列流程进行: (1)顾客到达。(流程分析起点); (2)询问业务; (3)等待顾客填单; (4)从顾客手中接邮包和填好的包裹单;

(5)包装邮寄物; (6)称重; (7)使用计算器计算邮资;(2元起价,含200克,200克以上按1分/克计算邮资) (8)向顾客收取邮资; (9)登帐(实为计算机操作,这里用手工记账代替); (10)贴包裹单; (11)贴邮票; (12)将包裹放入邮件暂存箱; (13)把包裹单第二联交顾客; (14)顾客离开,服务结束。 实验时,先模拟1~2遍,然后负责记录的同学使用流程图符号记录“邮局业务员”的实际工作流程,绘制流程程序分析简图。同时记录时间和移动距离等参考数据。 六、实验报告要求 使用实习报告纸或课程设计纸书写。实验报告应包含以下内容: (1)实验目的;(2)实验器材;(3)实验分组;(4)实验内容与步骤; (5)5W1H分析过程;(6)ECRS改善过程;(7)规范的以为人主的流程程序图(含现行方法和改善方法)。(8)对分析改善进行总结。

测试技术实验指导书及实验报告2006级用汇总

矿压测试技术实验指导书 学号: 班级: 姓名: 安徽理工大学 能源与安全学院采矿工程实验室

实验一常用矿山压力仪器原理及使用方法 第一部分观测岩层移动的部分仪器 ☆深基点钻孔多点位移计 一、结构简介 深基点钻孔多点位移计是监测巷道在掘进和受采动影响的整个服务期间,围岩内部变形随时间变化情况的一种仪器。 深基点钻孔多点位移包括孔内固定装置、孔中连接钢丝绳、孔口测读装置组成。每套位移计内有5~6个测点。其结构及其安装如图1所示。 二、安装方法 1.在巷道两帮及顶板各钻出φ32的钻孔。 2.将带有连接钢丝绳的孔内固定装置,由远及近分别用安装圆管将其推至所要求的深度。(每个钻孔布置5~6个测点,分别为;6m、5m、4m、3m、2m、lm或12m、10m、8m、6m、4m、2m)。 3.将孔口测读装置,用水泥药圈或木条固定在孔口。 4。拉紧每个测点的钢丝绳,将孔口测读装置上的测尺推至l00mm左右的位置后,由螺丝将钢丝绳与测尺固定在一起。 三、测试方法 安装后先读出每个测点的初读数,以后每次读得的数值与初读数之差,即为测点的位移值。当读数将到零刻度时,松开螺丝,使测尺再回到l00mm左右的位置,重新读出初读数。 ☆顶板离层指示仪 一、结构简介: 顶板离层指示仪是监测顶板锚杆范围内及锚固范围外离层值大小的一种监测仪器,在顶板钻孔中布置两个测点,一个在围岩深部稳定处,一个在锚杆端部围岩中。离层值就是围岩中两测点之间以及锚杆端部围岩与巷道顶板表面间的相对位移值。顶板离层指示仪由孔内固定装置、测量钢丝绳及孔口显示装置组成如图1所示。

二、安装方法: 1.在巷道顶板钻出φ32的钻孔,孔深由要求而定。 2.将带有长钢丝绳的孔内固定装置用安装杆推到所要求的位置;抽出安装杆后再将带有短钢丝绳的孔内固定装置推到所要求的位置。 3.将孔口显示装置用木条固定在孔口(在显示装置与钻孔间要留有钢丝绳运动的间隙)。 4.将钢丝绳拉紧后,用螺丝将其分别与孔口显示装置中的圆管相连接,且使其显示读数超过零刻度线。 三、测读方法: 孔口测读装置上所显示的颜色,反映出顶板离层的范围及所处状态,显示数值表示顶板的离层量。☆DY—82型顶板动态仪 一、用途 DY-82型顶板动态仪是一种机械式高灵敏位移计。用于监测顶底板移近量、移近速度,进行采场“初次来压”和“周期来压”的预报,探测超前支撑压力高 峰位置,监测顶板活动及其它相对位移的测量。 二、技术特征 (1)灵敏度(mm) 0.01 (2)精度(%) 粗读±1,微读±2.5 (3)量程(mm) 0~200 (4)使用高度(mm) 1000~3000 三、原理、结构 其结构和安装见图。仪器的核心部件是齿条6、指针8 以及与指针相连的齿轮、微读数刻线盘9、齿条下端带有读 数横刻线的游标和粗读数刻度管11。 当动态仪安装在顶底板之间时,依靠压力弹簧7产生的 弹力而站立。安好后记下读数(初读数)并由手表读出时间。 粗读数由游标10的横刻线在刻度管11上的位置读出,每小 格2毫米,每大格(标有“1”、“22'’等)为10毫米,微读数 由指针8在刻线盘9的位置读出,每小格为0.01毫米(共200 小格,对应2毫米)。粗读数加微读数即为此时刻的读数。当 顶底板移近时,通过压杆3压缩压力弹簧7,推动齿条6下 移,带动齿轮,齿轮带动指针8顺时针方向旋转,顶底板每 移近0.01毫米,指针转过1小格;同时齿条下端游标随齿条 下移,读数增大。后次读数减去前次读数,即为这段时间内的顶底板移近量。除以经过的时间,即得

混凝土结构实验指导书及实验报告(学生用)

土木工程学院 《混凝土结构设计基本原理》实验指导书 及实验报告 适用专业:土木工程周淼 编 班级::学 号: 理工大学 2018 年9 月

实验一钢筋混凝土梁受弯性能试验 一、实验目的 1.了解适筋梁的受力过程和破坏特征; 2.验证钢筋混凝土受弯构件正截面强度理论和计算公式; 3.掌握钢筋混凝土受弯构件的实验方法及荷载、应变、挠度、裂缝宽度等数据的测试技术 和有关仪器的使用方法; 4.培养学生对钢筋混凝土基本构件的初步实验分析能力。 二、基本原理当梁中纵向受力钢筋的配筋率适中时,梁正截面受弯破坏过程表现为典型的三个阶段:第一阶段——弹性阶段(I阶段):当荷载较小时,混凝土梁如同两种弹性材料组成的组合梁,梁截面的应力呈线性分布,卸载后几乎无残余变形。当梁受拉区混凝土的最大拉应力达到混凝土的抗拉强度,且最大的混凝土拉应变超过混凝土的极限受拉应变时,在纯弯段某一薄弱截面出现首条垂直裂缝。梁开裂标志着第一阶段的结束。此时,梁纯弯段截面承担的弯矩M cr称为开裂弯矩。第二阶段——带裂缝工作阶段(II阶段):梁开裂后,裂缝处混凝土退出工作,钢筋应力急增,且通过粘结力向未开裂的混凝土传递拉应力,使得梁中继续出现拉裂缝。压区混凝土中压应力也由线性分布转化为非线性分布。当受拉钢筋屈服时标志着第二阶段的结束。此时梁纯弯段截面承担的弯矩M y称为屈服弯矩。第三阶段——破坏阶段(III阶段):钢筋屈服后,在很小的荷载增量下,梁会产生很大的变形。裂缝的高度和宽度进一步发展,中和轴不断上移,压区混凝土应力分布曲线渐趋丰满。当受压区混凝土的最大压应变达到混凝土的极限压应变时,压区混凝土压碎,梁正截面受弯破坏。此时,梁承担的弯矩M u 称为极限弯矩。适筋梁的破坏始于纵筋屈服,终于混凝土压碎。整个过程要经历相当大的变形,破坏前有明显的预兆。这种破坏称为适筋破坏,属于延性破坏。 三、试验装置

SOPC实验报告

SOPC系统设计技术实验报告 姓名: 学号: 院系:信息科学与工程学院 专业:电子科学与技术 指导老师: 完成日期:2015年04月25日

实验二、NIOSII实现串口收发数据及LCD显示 一、实验目的 (1)进一步熟悉Quartus II、SOPC Builder、NIOS II IDE的操作; (2)掌握SOPC硬件系统及NIOS II软件的开发流程。 二、实验内容 (1)、实验平台:硬件:PC级、SmartSOPC+教学实验开发平台;软件:Quartus II 9.0,SOPC Builder 9.0,NIOS II IDE 9.0。 (2)、实验内容:建立包含SDRAM、JTAG_UART、Timer、LCD的NIOS II处理器系统,通过JTAG_UART从IDE的控制端窗口读取输入值N,计算1至N的累加值,并将计算结果及计算花费时间的显示在LCD中。 三、实验步骤 3.1硬件设计 根据实验内容,可以得出本次实验的硬件结构图如图3.1所示: 图3.1 硬件设计结构图

具体硬件设计步骤如下: 1)、在Quartus II中建立一个工程命名为:smallCore,器件设置为EP3C55F484C8; 2)、以原理图输入方式建立空白顶层模块,并保持; 3)、打开SOPC Builder,命名SOPC系统名称为nios2system,开始建立NIOS II系统。 4)、双击SOPC Builder主界面左侧中的“Nios II Processor”,出现Nios II CPU的配置向导对话框,如图1.4所示,在这里可以有三种Nios II CPU选择,我们选择快速型的Nios II/f,不使用硬件乘法器及除法器。然后单击Next进入下一步配置;Instruction Cache项中选择2 Kbytes,在Data Cache项中选择512 Bytes,单击Next进行下一步配置;在“Advanced Features”和“MMU and MPU Settings”选项卡中选择默认参数,然后单击Next,到了“JTAG Debug Module”选项卡,如图1.6所示。这里是选择JTAG调试接口,选择默认的模式Level 1,然后单击Next,到了“Custom Instruction”选项卡,也选择默认参数,最后单击Finish完成对Nios II CPU的配置。 5)、添加了Nios II CPU内核后,选中Module Name下的cpu_0,单击鼠标右键,在Rename 项中可以重命名cpu_0的名称为cpu,并在“Clock Settings”一栏中将clk_0名称改为clk。 6)、双击在SOPC Builder主界面左侧中的Bridges and Adapters→Memory Mapped→Avalon-MM Clock Crossing Bridge,出现Clock Crossing Bridge的配置向导对话框,在“Slave-to-Master FIFO”中的FIFO depth中选择64。单击“finish”退出配置对话框,并重命名clock_crossing_0的名称为clock_crossing。 7)、双击在SOPC Builder主界面左侧中的Memories and Memory Controllers→SDRAM→DDR SDRAM High Performance Controller,出现DDR SDRAM High Performance Controller的配置向导对话框。修改“General Settings”选项卡的参数配置,参数修改如下:Speed grade:8 PLL reference clock frequency:85 Memory clock frequency:100 Local interface clock frequency:full 修改“Modify Parameters”:DDR SDRAM控制器参数,参数修改如下: Total Memory interface DQ width:16 Memory vendor:other

电力电子实验指导书.

电力电子技术 实 验 指 导 书 北京化工大学信息科学与技术学院电工电子教学实习中心 二零零四年六月

目录 实验1 三相桥式全控整流电路的性能研究(设计性) (1) 实验2 直流斩波电路的性能研究(设计性) (5) 实验3 单相交流调压电路的性能研究(设计性) (7) 实验4 单相交直交变频电路的性能研究(设计性) (9)

实验1 三相桥式全控整流电路的性能研究(设计性) 1. 实验目的 熟悉三相桥式全控整流电路的接线,器件和保护情况。明确对触发脉冲的要求。观察在电阻负载、电阻电感负载和反电动势负载情况下电路的输出电压和电流的波形。 2. 实验内容 1)熟悉实验装置的电路结构和器件,检查连接主电路和触发电路的接插线,检查快速熔断器是否良好。电路见实验图1,其中实验图1a为主电路,图中所接负载为电感电阻负载,实验中也可以接电阻负载。实验图1b所示为触发电路,该触发电路由3片集成触发电路芯片KJ004和1片集成双脉冲发生器芯片KJ041组成。触发电路产生的触发信号用接插线与主电路各晶闸管相连接。 2) 熟悉采用KJ004和KJ041构成的触发电路。

6)接电阻电感负载时,在3L R ω>的情况下,调节p u 使0=co u 时0≈d U ,以后p u 固定不变,通过调节变阻器的阻值(有条件的也可改变电感值)改变负载阻抗角?,对于不同的?,观察不同的α时d u 、d i 、和T u 的波形,注意电流临界连续时,α和?的配合情况。记录触发角α分别为0?、30?、60?和90?时co u 与d U 的数值。 7)负载端接平波电抗器和直流他励电动机的电枢,合闸时必须注意使0=co u 、 90α≈?和0≈d U ,随后逐步调节co u ,观察d u 、d i 、L u 和电枢端D u 的波形,适量加载,并分别观察接上电抗器与短接电抗器时d i 的波形,注意电流断续时的现象。 3. 实验报告 1) 估算实验电路参数并选择测试仪表。 2) 分析触发器输出的双脉冲波形。 3) 分别绘制出电阻负载、电感电阻负载时α-L d U U 2/曲线。 4) 不同负载时,不同α与?时电流连续与断续的情况与分析。 5) 讨论与分析实验结果,特别注意对实验过程中出现的异常情况进行分析。

电力电子实验指导书完全版范本

电力电子实验指导 书完全版

电力电子技术实验指导书 目录 实验一单相半波可控整流电路实验........................... 错误!未定义书签。实验二三相桥式全控整流电路实验........................... 错误!未定义书签。实验三单相交流调压电路实验 .................................. 错误!未定义书签。实验四三相交流调压电路实验 .................................. 错误!未定义书签。实验装置及控制组件介绍 ............................................ 错误!未定义书签。

实验一单相半波可控整流电路实验 一、实验目的 1.熟悉单结晶体管触发电路的工作原理及各元件的作用; 2.对单相半波可控整流电路在电阻负载及电阻电感负载时的工作做全 面分析; 3.了解续流二极管的作用; 二、实验线路及原理 熟悉单结晶体管触发电路的工作原理及线路图,了解各点波形形状。将单结晶体管触发电路的输出端“G”和“K”端接至晶闸管的门极和阴极, 即构成如图1-1所示的实验线路。 图1-1 单结晶体管触发的单相半波可控整流电路 三、实验内容 1.单结晶体管触发电路的调试; 2.单结晶体管触发电路各点电压波形的观察; 3.单相半波整流电路带电阻性负载时Ud/U2=f(α)特性的测定; 4.单相半波整流电路带电阻电感性负载时续流二极管作用的观察;

四、实验设备 1.电力电子实验台 2.RTDL09实验箱 3.RTDL08实验箱 4.RTDL11实验箱 5.RTDJ37实验箱 6.示波器; 7.万用表; 五、预习要求 1.了解单结晶体管触发电路的工作原理,熟悉RTDL09实验箱; 2.复习单相半波可控整流电路的有关内容,掌握在接纯阻性负载和阻 感性负载时,电路各部分的电压和电流波形; 3.掌握单相半波可控整流电路接不同负载时Ud、Id的计算方法。 六、思考题 1.单相桥式半波可控整流电路接阻感性负载时会出现什么现象?如何 解决? 七、实验方法 1.单相半波可控整流电路接纯阻性负载 调试触发电路正常后,合上电源,用示波器观察负载电压Ud、晶闸管VT两端电压波形U VT,调节电位器RP1,观察α=30o、60o、90o、120o、150o、180o时的Ud、U VT波形,并测定直流输出电压Ud 和电源电压U2,记录于下表1-1中。

土工实验指导书及实验报告

土工实验指导书及实验报告编写毕守一 安徽水利水电职业技术学院 二OO九年五月

目录 实验一试样制备 实验二含水率试验 实验三密度试验 实验四液限和塑限试验 实验五颗粒分析试验 实验六固结试验 实验七直接剪切试验 实验八击实试验 土工试验复习题

实验一试样制备 一、概述 试样的制备是获得正确的试验成果的前提,为保证试验成果的可靠性以及试验数据的可比性,应具备一个统一的试样制备方法和程序。 试样的制备可分为原状土的试样制备和扰动土的试样制备。对于原状土的试样制备主要包括土样的开启、描述、切取等程序;而扰动土的制备程序则主要包括风干、碾散、过筛、分样和贮存等预备程序以及击实等制备程序,这些程序步骤的正确与否,都会直接影响到试验成果的可靠性,因此,试样的制备是土工试验工作的首要质量要素。 二、仪器设备 试样制备所需的主要仪器设备,包括: (1)孔径0.5mm、2mm和5mm的细筛; (2)孔径0.075mm的洗筛; (3)称量10kg、最小分度值5g的台秤; (4)称量5000g、最小分度值1g和称量200g、最小分度值0.01g的天平;

(5)不锈钢环刀(内径61.8mm、高20mm;内径79.8mm、高20mm或内径61.8mm、高40mm); (6)击样器:包括活塞、导筒和环刀; (7)其他:切土刀、钢丝锯、碎土工具、烘箱、保湿器、喷水设备、凡士林等。 三、试样制备 (一)原状土试样的制备步骤 1、将土样筒按标明的上下方向放置,剥去蜡封和胶带,开启土样筒取土样。 2、检查土样结构,若土样已扰动,则不应作为制备力学性质试验的试样。 3、根据试验要求确定环刀尺寸,并在环刀内壁涂一薄层凡士林,然后刃口向下放在土样上,将环刀垂直下压,同时用切土刀沿环刀外侧切削土样,边压边削直至土样高出环刀,制样时不得扰动土样。 4、采用钢丝锯或切土刀平整环刀两端土样,然后擦净环刀外壁,称环刀和土的总质量。 5、切削试样时,应对土样的层次、气味、颜色、夹杂物、裂缝和均匀性进行描述。 6、从切削的余土中取代表性试样,供测定含水率以及颗粒分析、界限含水率等试验之用。

sopc实验指导书(1)

CON目录 第一章实验箱简介 (2) 第二章EDA实验单元 (5) 实验一七人表决器 (5) 实验二格雷码变换 (13) 实验三BCD码加法器 (15) 实验四四位全加器 (17) 实验五四人抢答器 (19) 实验六四位并行乘法器 (20) 实验七设计基本触发器 (21) 实验八设计74LS169计数器功能模块 (25) 实验九步长可变的加减计数器 (27) 实验十可控脉冲发生器 (28) 实验十一正负脉宽数控调制信号发生器 (30) 实验十二序列检测器 (32) 实验十三四位并行流水乘法器 (34) 实验十四出租车计费器 (37) 实验十五多功能数字钟 (39) 实验十六数字秒表 (41) 实验十七频率计 (43) 实验十八交通灯控制器 (45) 实验十九数码锁 (47) 实验二十VGA彩条发生器 (49) 附录 (51)

第一章实验箱简介 EDA/SOPC实验箱是集EDA和SOPC开发为一体的综合性实验箱,它不仅可以独立完成几乎所有的EDA设计,也可以完成大多数的SOPC开发。 采用Altera公司的Cyclone系列的12万门FPGA为核心,整个系统采用模块化设计,各个模块之间可以自由组合,使得该实验箱的灵活性大大提高。同时实验箱还提供了丰富的接口模块,供人机交互,从而大大增加了实验开发者开发的乐趣,满足了普通高等院校、科研人员等的需求。 开发工程师可以使用VHDL语言、Verilog HDL语言、原理图输入等多种方式,利用Altera公司提供的Quartus II及Nios软件进行编译,下载,并通过EDA/SOPC实验箱进行结果验证。实验箱提供多种人机交互方式,如键盘阵列、按键、拨挡开关输入;七段码管、大屏幕图形点阵LCD显示;串口通信;VGA接口、PS2接口、USB接口、Ethernet接口等,利用Altera 公司提供的一些IP资源和Nios 32位处理器,用户可以在该实验箱上完成不同的SOPC设计。 EDA/SOPC实验箱提供的资源有: ●Altera公司的EP1C6Q240C8,12万门级FPGA,另外可选配更高 资源的FPGA ●FPGA配置芯片采用可在线变成的EPC2,通过JTAG口和简单的 跳线即可完成设计的固化 ●1个数字时钟源,提供48MHz、12MHz、1MHz、100KHz、10KHz、 1KHz、100Hz、10Hz、2Hz和1Hz等多个时钟 ●1个模拟信号源,提供频率和幅度可调的正弦波、三角波和方波 ●两个串行接口,一个用于SOPC开发时的调试,另一个可以完成 其它的通信 ●1个VGA接口 ●1个PS2接口,可以接键盘或鼠标 ●1个USB接口,利用PDIUSBD12芯片实现USB协议转换 ●1个Ethernet接口,利用RTL8019芯片实现TCP/IP协议转换 ●基于SPI接口的音频CODEC模块 ●1个输入、输出探测模块,供数字信号的观察 ●16个LED显示 ●8个拨挡开关输入 ●8个按键输入 ●1个4X4键盘阵列 ●8个七段码管显示 ●1个扬声器模块 ●1个交通灯模块

实验指导书-全概论

《材料制备与表征实验》 (Experimental of Materials Preparation and Characterization Techniques) 指 导 书 中国矿业大学材料学院 2009 1 18

实验一溶胶凝胶法制备陶瓷粉体与性能表征 一、溶胶-凝胶法的基本原理 1846年法国化学家J.J.Ebelmen用SiCl4与乙醇混合后,发现在湿空气中发生水解并形成了凝胶。20世纪30年代W.Geffcken证实用金属醇盐的水解和凝胶化可以制备氧化物薄膜。1971年德国H.Dislich报道了通过金属醇盐水解制备了SiO2-B2O-Al2O3-Na2O-K2O多组分玻璃。1975年B.E.Yoldas和M.Yamane制得整块陶瓷材料及多孔透明氧化铝薄膜。80年代以来,在玻璃、氧化物涂层、功能陶瓷粉料以及传统方法难以制得的复合氧化物材料得到成功应用。 溶胶-凝胶法是用含高化学活性组分的化合物作前驱体,在液相下将这些原料均匀混合,并进行水解、缩合化学反应,在溶液中形成稳定的透明溶胶体系,溶胶经陈化胶粒间缓慢聚合,形成三维空间网络结构的凝胶,凝胶网络间充满了失去流动性的溶剂,形成凝胶。凝胶经过干燥、烧结固化制备出分子乃至纳米亚结构的材料。 胶体(colloid)是一种分散相粒径很小的分散体系,分散相粒子的重力可以忽略,粒子之间的相互作用主要是短程作用力。 溶胶(Sol)是具有液体特征的胶体体系,分散的粒子是固体或者大分子,分散的粒子大小在1~1000nm之间。 凝胶(Gel)是具有固体特征的胶体体系,被分散的物质形成连续的网状骨架,骨架空隙中充有液体或气体,凝胶中分散相的含量很低,一般在1%~3%之间。 溶胶-凝胶法的化学过程首先是将原料分散在溶剂中,然后经过水解反应生成活性单体,活性单体进行聚合,开始成为溶胶,进而生成具有一定空间结构的凝胶,经过干燥和热处理制备出纳米粒子和所需要材料。其最基本的反应是: (l)水解反应:M(OR)n +H2O → M (OH) x (OR) n-x +xROH (2) 聚合反应:-M-OH +HO-M-→ -M-O-M-+H2O -M-OR +HO-M-→ -M-O-M-+ROH 溶胶-凝胶法与其它方法相比具有许多独特的优点:(1)由于溶胶-凝胶法中所用的原料首先被分散到溶剂中而形成低粘度的溶液,因此,就可以在很短的时间内获得分子水平的均匀性,在形成凝胶时,反应物之间很可能是在分子水平上被均匀地混合。(2)由于经过溶液反应步骤,那么就很容易均匀定量地掺入一些微量元素,实现分子水平上的均匀掺杂。(3)与固相反应相比,化学反应将容易进行,而且仅需要较低的合成温度,一般认为溶胶一凝胶体系中组分的扩散在纳米范围内,而固相反应时组分扩散是在微米范围内,因此反应容易进行,温度较低。(4)选择合适的条件可以制备各种新型材料。 溶胶一凝胶法金属化合物经溶液、溶胶、凝胶而固化,再经低温热处理而生成纳米粒子。其特点反应物种多,产物颗粒均一,过程易控制,适于氧化物和Ⅱ~Ⅵ族化合物的制备。溶胶一凝胶法作为低温或温和条件下合成无机化合物或无机材料的重要方法,在软化学合成中占有重要地位。在制备玻璃、陶瓷、薄膜、纤维、复合材料等方面获得重要应用,更广泛用于制备纳米粒子。 二、实验目的与要求 1.了解溶胶一凝胶法的基本原理。 2.通过实验掌握溶胶凝胶的实验方法。 3.了解并掌握分体颗粒的基本表征方法。 三、实验过程与细节 1. 实验药品及配制 实验所用原料见表1。用去离子水配制0.5M的(NH4)2 HPO4溶液1000ml。无水乙醇配制0.5 M的Ca(NO3)2溶液1000 ml。Ca含量采用EDTA络合滴定分析,含量用磷钼酸喹啉重量法测定。分别用1+1的

优化设计实验指导书(完整版)

优化设计实验指导书 潍坊学院机电工程学院 2008年10月 目录

实验一黄金分割法 (2) 实验二二次插值法 (5) 实验三 Powell法 (8) 实验四复合形法 (12) 实验五惩罚函数法 (19)

实验一黄金分割法 一、实验目的 1、加深对黄金分割法的基本理论和算法框图及步骤的理解。 2、培养学生独立编制、调试黄金分割法C语言程序的能力。 3、掌握常用优化方法程序的使用方法。 4、培养学生灵活运用优化设计方法解决工程实际问题的能力。 二、实验内容 1、编制调试黄金分割法C语言程序。 2、利用调试好的C语言程序进行实例计算。 3、根据实验结果写实验报告 三、实验设备及工作原理 1、设备简介 装有Windows系统及C语言系统程序的微型计算机,每人一台。 2、黄金分割法(0.618法)原理 0.618法适用于区间上任何单峰函数求极小点的问题。对函数除“单峰”外不作 其它要求,甚至可以不连续。因此此法适用面相当广。 0.618法采用了区间消去法的基本原理,在搜索区间内适当插入两点和,它们把 分为三段,通过比较和点处的函数值,就可以消去最左段或最右段,即完成一次迭代。 然后再在保留下来的区间上作同样处理,反复迭代,可将极小点所在区间无限缩小。 现在的问题是:在每次迭代中如何设置插入点的位置,才能保证简捷而迅速地找到极小点。 在0.618法中,每次迭代后留下区间内包含一个插入点,该点函数值已计算过,因此以后的每次迭代只需插入一个新点,计算出新点的函数值就可以进行比较。 设初始区间[a,b]的长为L。为了迅速缩短区间,应考虑下述两个原则:(1)等比收缩原理——使区间每一项的缩小率不变,用表示(0<λ<1)。 (2)对称原理——使两插入点x1和x2,在[a,b]中位置对称,即消去任何一边区间[a,x1]或[x2,b],都剩下等长区间。 即有 ax1=x2b 如图4-7所示,这里用ax1表示区间的长,余类同。若第一次收缩,如消去[x2,b]区间,则有:λ=(ax2)/(ab)=λL/L 若第二次收缩,插入新点x3,如消去区间[x1,x2],则有λ=(ax1)/(ax2)=(1-λ)L/λL

CAD上机实验指导书及实验报告

北京邮电大学世纪学院 实验、实习、课程设计报告撰写格式与要求 (试行) 一、实验报告格式要求 1、有实验教学手册,按手册要求填写,若无则采用统一实验报告封面。 2、报告一律用钢笔书写或打印,打印要求用A4纸;页边距要求如下:页边距上下各为2.5厘米,左右边距各为2.5厘米;行间距取固定值(设置值为20磅);字符间距为默认值(缩放100%,间距:标准)。 3、统一采用国家标准所规定的单位与符号,要求文字书写工整,不得潦草;作图规范,不得随手勾画。 4、实验报告中的实验原始记录,须经实验指导教师签字或登记。 二、实习报告、课程设计报告格式要求 1、采用统一的封面。 2、根据教学大纲的要求手写或打印,手写一律用钢笔书写,统一采用国家标准所规定的单位与符号,要求文字书写工整,不得潦草;作图规范,不得随手勾画。打印要求用A4纸;页边距要求如下:页边距上下各为2.5厘米,左右边距各为2.5厘米;行间距取固定值(设置值为20磅);字符间距为默认值(缩放100%,间距:标准)。 三、报告内容要求 1、实验报告内容包括:实验目的、实验原理、实验仪器设备、实验操作过程、原始数据、实验结果分析、实验心得等方面内容。 2、实习报告内容包括:实习题目、实习任务与要求、实习具体实施情况(附上图表、原始数据等)、实习个人总结等内容。 3、课程设计报告或说明书内容包括:课程设计任务与要求、总体方案、方案设计与分析、所需仪器设备与元器件、设计实现与调试、收获体会、参考资料等方面内容。 北京邮电大学世纪学院 教务处 2009-8

实验报告 课程名称计算机绘图(CAD) 实验项目AutoCAD二维绘图实验 专业班级 姓名学号 指导教师实验成绩 2016年11月日

FPGA设计实验指导书(2013)

《FPGA设计》实验指导书

安全操作注意事项 1、接插下载电缆前,请务必关闭实验箱开关,避免损坏下载电缆或实验箱器件。 2、操作过程中应防止静电。 3、保持实验箱和电路板的表面清洁。 4、小心轻放,避免不必要的硬件损伤或者人身受伤。 实验箱简介

实验一简单组合逻辑设计 一、实验目的和任务 1、熟习Quartus II软件的使用; 2、掌握用原理图输入法和硬件描述语言(Verilog HDL)两种方法来设计逻 辑电路; 3、通过电路的仿真及验证,进一步了解4选1数据选择器的功能; 二、实验内容 1、用原理图输入法来设计4选1数据选择器 参照按图1-1所示来编辑完成4选1数据选择器的原理图输入,其中a、b、c、d 为数据输入端,sel[1]、sel[0]为控制输入端,q为4选1数据输出端。存盘仿真后,观察仿真波形,以验证数据选择器的功能。 图1-1 4选1数据选择器原理图 2、用Verilog HDL硬件描述语言来设计4选1数据选择器 用QuartusII中的文本编辑器,编辑输入4选1数据选择器源程序:module m41( a, b, c, d, sel, q); input a,b,c,d; input [1:0]sel; output q; reg q; always @( sel) case(sel) 2’b00: q=a; 2’b01: q=b;

2’b11: q=d; endcase endmodule 程序中的a 、b 、c 、d 依然为数据输入端,sel[1]、sel[0]为控制输入端,q 为4选1数据输出端。同样存盘后进行仿真,并观察仿真波形,以验证数据选择器的功能。 三、实验仪器、设备及材料 电脑、EDA 软件、实验箱、下载电缆。 四、实验原理 4选1数据选择器的原理框图及真值表如图1-2及表1-1所示,sel[1:0]可能出现四种组合情况: 00 01 10 11,它分别对应选通四个不同的数据输入a 、b 、c 、d ,从q 端输出。结合以前所学数字电路的知识,可由真值表得出利用“与非门”实现的逻辑电路,进而可用QuartusII 原理图输入方法,设计出该4选1数据选择器;如应用EDA 技术所学的Verilog HDL 硬件描述语言来描述该电路功能,即可设计出该4选1数据选择器的源程序。 图1-2 4选1数据选择器的原理框图 q Sel[1]输出 选择输入 0a 01b 00 c 11 d 1 Sel[0]表1-1 真值表 五、重点、难点 d a b c

显微镜与望远镜实验指导书_全

一、实验目的 1.通过实验掌握显微镜、望远镜的基本原理; 2.通过实际测量,了解显微镜、望远镜的主要光学参数; 3.根据指示书提供的参考材料自己选择2套方案,测出水准仪的放大率并比较与实验结果是否相符。 二、实验器材 1.显微镜实验:测量显微镜、分辨率板、分辨率板放大图、透明刻线板、台灯,高倍(40×、45×)、中倍(8×或10×)、低倍(2.5×、3×或4×)显微物镜各一个,目镜若干(4×、5×、10×、15×等)。 2.望远镜实验:25×水准仪、平行光管、1×长工作距测量显微镜、视场仪、白炽灯、钢板尺、升降台、光学导轨、玻罗板、分辨率板。 三、实验原理 (1)显微镜原理: 显微镜是用来观察近处微小物体细节的重要目视光学仪器。它对被观察物进行了两次放大:第一次是通过物镜将被观察物成像放大于目镜的分划板上,在很靠近物镜焦点的位置上成倒立放大实像;第二次是经过目镜将第一次所成实像再次放大为虚像供眼睛观察,目镜的作用相当于一个放大镜。 由于经过物镜和目镜的两次放大,显微镜总的放大率Γ应是物镜放大率β和目镜放大率Γ1的乘积。 Γ=β×Γ 1 绝大多数的显微镜,其物镜和目镜各有数个,组成一套,以便通过调换获得各种放大率。显微镜取下物镜和目镜后,所剩下的镜筒长度,即物镜支承面到目镜支承面之间的距离称为机械筒长。我国标准规定机械筒长为160毫米。 显微镜的视场以在物平面上所能看到的圆直径来表示,其视场受安置在物镜像平面上的专设视场光阑所限制。 显微镜的分辨率即它所能分辨的两点间最小距离: nSinU λδ61.0= 式中:λ为观测时所用光线的波长;nSinU 为物镜数值孔径(NA )。 从上式可见,在一定的波长下,显微镜的分辨率由物镜的数值孔径所决定,光学显微镜的分辨率,基本上与所使用光的波长是一个数量级。为了充分利用物镜的放大率,使被物镜分辨出来的细节,能同时被眼睛所看清,显微镜应有恰当的放大率。综合考虑显微物镜和人眼自身的分辨率,可得出显微镜适当的放大率范围是: 500NA<Γ<1000NA 这个范围的放大率称为有效放大率。如使用比有效放大率更小的放大率,则不能看清物镜已经分辨出的某些细节;如取用高倍目镜得到比有效放大率上限更

《计算机操作系统》实验指导书

《计算机操作系统》 实验指导书 (适合于计算机科学与技术专业) 湖南工业大学计算机与通信学院 二O一四年十月

前言 计算机操作系统是计算机科学与技术专业的主要专业基础课程,其实践性、应用性很强。实践教学环节是必不可少的一个重要环节。计算机操作系统的实验目的是加深对理论教学内容的理解和掌握,使学生较系统地掌握操作系统的基本原理,加深对操作系统基本方法的理解,加深对课堂知识的理解,为学生综合运用所学知识,在Linux环境下调用一些常用的函数编写功能较简单的程序来实现操作系统的基本方法、并在实践应用方面打下一定基础。要求学生在实验指导教师的帮助下自行完成各个操作环节,并能实现且达到举一反三的目的,完成一个实验解决一类问题。要求学生能够全面、深入理解和熟练掌握所学内容,并能够用其分析、设计和解答类似问题;对此能够较好地理解和掌握,并且能够进行简单分析和判断;能够熟练使用Linux用户界面;掌握操作系统中进程的概念和控制方法;了解进程的并发,进程之间的通信方式,了解虚拟存储管理的基本思想。同时培养学生进行分析问题、解决问题的能力;培养学生完成实验分析、实验方法、实验操作与测试、实验过程的观察、理解和归纳能力。 为了收到良好的实验效果,编写了这本实验指导书。在指导书中,每一个实验均按照该课程实验大纲的要求编写,力求紧扣理论知识点、突出设计方法、明确设计思路,通过多种形式完成实验任务,最终引导学生有目的、有方向地完成实验任务,得出实验结果。任课教师在实验前对实验任务进行一定的分析和讲解,要求学生按照每一个实验的具体要求提前完成准备工作,如:查找资料、设计程序、完成程序、写出预习报告等,做到有准备地上机。进行实验时,指导教师应检查学生的预习情况,并对调试过程给予积极指导。实验完毕后,学生应根据实验数据及结果,完成实验报告,由学习委员统一收齐后交指导教师审阅评定。 实验成绩考核: 实验成绩占计算机操作系统课程总评成绩的20%。指导教师每次实验对学生进行出勤考核,对实验效果作记录,并及时批改实验报告,综合评定每一次的实验成绩,在学期终了以平均成绩作为该生的实验成绩。有以下情形之一者,实验成绩为不及格: 1.迟到、早退、无故缺勤总共3次及以上者; 2.未按时完成实验达3次及以上者; 3.缺交实验报告2次及以上者。

《流体力学》课程实验(上机)指导书及实验报告格式

《流体力学》课程实验指导书袁守利编 汽车工程学院 2005年9月

前言 1.实验总体目标、任务与要求 1)学生在学习了《流体力学》基本理论的基础上,通过伯努利方程实验、动量方程实 验,实现对基本理论的验证。 2)通过实验,使学生对水柱(水银柱)、U型压差计、毕托管、孔板流量计、文丘里流量计等流体力学常用的测压、测流量装置的结构、原理和使用有基本认识。 2.适用专业 热能与动力工程 3.先修课程 《流体力学》相关章节。 4.实验项目与学时分配 5. 实验改革与特色 根据实验内容和现有实验条件,在实验过程中,采取学生自己动手和教师演示相结合的方法,力求达到较好的实验效果。

实验一伯努利方程实验 1.观察流体流经实验管段时的能量转化关系,了解特定截面上的总水头、测压管水头、压强水头、速度水头和位置水头间的关系,从而加深对伯努利方程的理解和认识。 2.掌握各种水头的测试方法和压强的测试方法。 3.掌握流量、流速的测量方法,了解毕托管测速的原理。 二、实验条件 伯努利方程实验仪 三、实验原理 1.实验装置: 图一伯努利方程实验台 1.水箱及潜水泵 2.上水管 3.电源 4.溢流管 5.整流栅 6.溢流板 7.定压水箱 8.实验 细管9. 实验粗管10.测压管11.调节阀12.接水箱13.量杯14回水管15.实验桌 2.工作原理 定压水箱7靠溢流来维持其恒定的水位,在水箱下部装接水平放置的实验细管8,水经实验细管以恒定流流出,并通过调节阀11调节其出水流量。通过布置在实验管四个截面上的四组测压孔及测压管,可以测量到相应截面上的各种水头的大小,从而可以分析管路中恒定流动的各种能量形式、大小及相互转化关系。各个测量截面上的一组测压管都相当于一组毕托管,所以也可以用来测管中某点的流速。 电测流量装置由回水箱、计量水箱和电测流量装置(由浮子、光栅计量尺和光电子

相关主题
文本预览
相关文档 最新文档