当前位置:文档之家› 数字逻辑毛法尧课后题答案

数字逻辑毛法尧课后题答案

数字逻辑毛法尧课后题答案
数字逻辑毛法尧课后题答案

习题一

1.1 把下列不同进制数写成按权展开式:

⑴(4517.239)10= 4×103+5×102+1×101+7×100+2×10-1+3×10-2+9×10-3

⑵(10110.0101)2=1×24+0×23+1×22+1×21+0×20+0×2-1+1×2-2+0×2-3+1×2-4

⑶(325.744)8=3×82+2×81+5×80+7×8-1+4×8-2+4×8-3

⑷(785.4AF)16=7×162+8×161+5×160+4×16-1+A×16-2+F×16-3

1.2 完成下列二进制表达式的运算:

1.3 将下列二进制数转换成十进制数、八进制数和十六进制数:

⑴(1110101)2=(165)8=(75)16=7×16+5=(117)10

⑵(0.110101)2=(0.65)8=(0.D4)16=13×16-1+4×16-2=(0.828125)10

⑶(10111.01)2=(27.2)8=(17.4)16=1×16+7+4×16-1=(23.25)10

1.4 将下列十进制数转换成二进制数、八进制数和十六进制数,精确到小数点后5位:

⑴(29)10=(1D)16=(11101)2=(35)8

⑵(0.207)10=(0.34FDF)16=(0.001101)2=(0.15176)8

⑶(33.333)10=(21.553F7)16=(100001.010101)2=(41.25237)8

1.5 如何判断一个二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除?

解: 一个二进制正整数被(2)10除时,小数点向左移动一位, 被(4)10除时,小数点向左移动两位,能被整除时,应无余数,故当b1=0和b0=0时, 二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除.

1.6 写出下列各数的原码、反码和补码:

⑴0.1011

[0.1011]原=0.1011; [0.1011]反=0.1011; [0.1011]补=0.1011

⑵0.0000

[0.000]原=0.0000; [0.0000]反=0.0000; [0.0000]补=0.0000

⑶-10110

[-10110]原=110110; [-10110]反=101001; [-10110]补=101010

1.7 已知[N]补=1.0110,求[N]原,[N]反和N.

解:由[N]补=1.0110得: [N]反=[N]补-1=1.0101, [N]原=1.1010,N=-0.1010

1.8 用原码、反码和补码完成如下运算:

⑴0000101-0011010

[0000101-0011010]原=10010101;

∴0000101-0011010=-0010101。

[0000101-0011010]反=[0000101]反+[-0011010]反=00000101+11100101=11101010 ∴0000101-0011010=-0010101

[0000101-0011010]补=[0000101]补+[-0011010]补=00000101+11100110=11101011 ∴0000101-0011010=-0010101

⑵0.010110-0.100110

[0.010110-0.100110]原=1.010000;

∴0.010110-0.100110=-0.010000。

[0.010110-0.100110]反=[0.010110]反+[-0.100110]反=0.010110+1.011001=1.101111

∴0.010110-0.100110=-0.010000;

[0.010110-0.100110]补=[0.010110]补+[-0.100110]补=0.010110+1.011010=1.110000

∴0.010110-0.100110=-0.010000

1.9 分别用“对9的补数”和“对10的补数”完成下列十进制数的运算:

⑴2550-123

[2550-123]9补=[2550]9补+[-123]9补=02550+99876=02427

∴2550-123=2427

[2550-123]10补=[2550]10补+[-123]10补=02550+99877=02427

∴2550-123=2427

⑵537-846

[537-846]9补=[537]9补+[-846]9补=0537+9153=9690

∴537-846=-309

[537-846]10补=[537]10补+[-846]10补=0537+9154=9691

∴537-846=-309

1.10 将下列8421BCD码转换成二进制数和十进制数:

⑴(0110,1000,0011)8421BCD=(1010101011)2=(683)10

⑵(0100,0101.1001)8421BCD=(101101.11100110)2=(45.9)10

1.11 试用8421BCD码、余3码、和格雷码分别表示下列各数:

⑴(578)10=(0101,0111,1000)8421BCD=(1000,1010,1011)余3码=(1001000010)2=(1101100011)Gray

⑵(1100110)2=(1010101)Gray=(102)10=(0001,0000,0010)8421BCD=(0100,0011,0101)余3码

习题二

2.1 分别指出变量(A,B,C,D)在何种取值组合时,下列函数值为1。

)1(+

=如下真值表中共有6种

F

B

AB

D

C

D D B A )B A )(B A B A (F )2(=++++=如下真值表中共有8种

D C B A CD )B A (D )C A A (F )3(++=++?+=如下真值表中除0011、1011、1111外共有13

种:

2.2 用逻辑代数公理、定理和规则证明下列表达式: ⑴ C A B A C A AB ?+=+

证明:左边=C A B A C B B A C A A A )C A )(B A (?+=?++?+=++=右边 ∴原等式成立. ⑵ 1B A B A B A AB =?+++

证明:左边=1A A )B B (A )B B (A )B A B A ()B A AB (=+=+++=?+++=右边 ∴原等式成立.

⑶ C AB C B A C B A ABC A ++?=

证明:左边=

C

B A

C AB C B A C B A )B B (C A )C C (B A C

A B A )C B A (A ?++?+=+++=+=++

=C AB C B A C B A ++?=右边 ∴原等式成立.

⑷ C A C B B A C B A ABC ++=??+

证明:右边==+++)C A )(C B )(B A (C B A ABC ??+=左边 ∴原等式成立.

⑸ C A B A BC B A ABC ?+?=+?+

证明:左边=C

+

(?

ABC

=

+

+=右边

?

A

?

)

A

B

C

A

)(

B

B

∴原等式成立.

2.3 用真值表检验下列表达式:

⑴)

+

=

A+

?

B

+

B

)(

AB

B

A

A

(

⑵C

+

=

+

AB?

A

B

A

C

A

2.4 求下列函数的反函数和对偶函数:

⑴C

F+

A

=

C

B

(

F+

=

A

+

)(

)

C

C

B

A

F'+

+

=

(

)

C

B

()

C

⑵)

A

F+

+

+

=

B

B

D

C

(A

C

(

A

F+

B

=

+

+

)

C

)(

A

D

B

C

)(

A

B

(

+

)(

+

F'+

=

D

B

C

)

C

)(

A

⑶]

[

B

A

=

+

F+

(

G

C

F

)

E

D

F+

A

B

+

=

+

[(

+

)

G

C

F

]

E

D

)(

F'+

A

+

+

[(

=

+

B

)

G

]

F

E

D

)(

C

2.5 回答下列问题:

⑴已知X+Y=X+Z,那么,Y=Z。正确吗?为什么?

答:正确。

因为X+Y=X+Z,故有对偶等式XY=XZ。所以

Y= Y + XY=Y+XZ=(X+Y)(Y+Z) =(X+Y)(Y+Z)

Z= Z + XZ=Z+XY=(X+Z)(Y+Z) =(X+Y)(Y+Z)

故Y=Z。

⑵已知XY=XZ,那么,Y=Z。正确吗?为什么?

答:正确。

因为XY=XZ的对偶等式是X+Y=X+Z,又因为

Y= Y + XY=Y+XZ=(X+Y)(Y+Z) =(X+Y)(Y+Z) Z= Z + XZ=Z+XY=(X+Z)(Y+Z) =(X+Y)(Y+Z)

故Y=Z 。

⑶已知 X+Y=X+Z ,且 XY=XZ ,那么,Y=Z 。正确吗?为什么? 答:正确。

因为X+Y=X+Z ,且 XY=XZ ,所以

Y= Y + XY= Y + XZ=(X+Y)(Y+Z)=(X+Z)(Y+Z)=Z+XY=Z+XZ=Z ⑷已知 X+Y=XZ ,那么,Y=Z 。正确吗?为什么? 答:正确。

因为X+Y=XZ ,所以有相等的对偶式XY=X+Z 。 Y= Y + XY= Y +(X + Z )=X+Y+Z Z = Z +XZ =Z + ( X + Y ) =X+Y+Z 故Y=Z 。

2.6 用代数化简法化简下列函数:

⑴ B A B B A BCD B B A F +=+=++=

⑵ 1A A )B B (A )A 1(A B A AB B A A F =+=+++=?+++=

⑶ D B )C D B (A D B )D C D B (A D C A D B AD AB F ?+++=?+?++=?+?++= D B C A )D B (A ?+++=D B A D B C A A D B C A D B A ?+=?++=?++?= 2.7 将下列函数表示成“最小项之和”形式和“最大项之积”形式:

⑴ =)C ,B ,A (F C A B A +=∑m(0,4,5,6,7)= ∏M(1,2,3)(如下卡诺图1) ⑵ =)D ,C ,B ,A (F D C B BC D C AB B A ?+++=∑m(4,5,6,7,12,13,14,15)

= ∏M(0,1,2,3,8,9,10,11) (如下卡诺图2)

⑶ =)D ,C ,B ,A (F )D C B )(BC A (?++=∑m(0,1,2,3,4)

= ∏M(5,6,7,8,9,10,11,12,13,14,15) (如下卡诺图3)

2.8 用卡诺图化简下列函数,并写出最简“与-或”表达式和最简“或-与”表达式: ⑴ =)C ,B ,A (F )C AB )(B A (++=)B A (C C B C A +=+

⑵ =)D ,C ,B ,A (F C B AC D C A B A ++?+?=AC C B B A ++?或=C B C A AB +?+

=)C B A )(C B A (++++

⑶ =)D ,C ,B ,A (F )B AD )(C B (D D BC ++++=D B +=)D B (+

2.9 用卡诺图判断函数)D ,C ,B ,A (F 和)D ,C ,B ,A (G 有何关系。 =)D ,C ,B ,A (F

=D AC D C D A D B +?+?+? =)D ,C ,B ,A (G =ABD D C A CD D B +?++

可见,G F =

2.10 卡诺图如下图所示,回答下面两个问题:

⑴ 若a b =,当a 取何值时能得到取简的“与-或”表达式。

从以上两个卡诺图可以看出,当a =1时, 能得到取简的“与-或”表达式。

⑵ a 和b 各取何值时能得到取简的“与-或”表达式。

从以上两个卡诺图可以看出,当a =1和b =1时, 能得到取简的“与-或”表达式。

2.11 用卡诺图化简包含无关取小项的函数和多输出函数。 ⑴ =)D ,C ,B ,A (F ∑m(0,2,7,13,15)+ ∑d(1,3,4,5,6,8,10)

∴=)D ,C ,B ,A (F BD A + ⑵ ??

?

?

???==

=∑∑∑)

7,4,3,2(m )D ,C ,B ,A (F )10,8,7,6,5,2,1,0(m )D ,C ,B ,A (F )15,13,10,8,7,4,2,0(m )D ,C ,B ,A (F 321

∴??

?

?

???

+?+?=++?+?=+?++?=BCD A D C B A C B A )D ,C ,B ,A (F BCD A D C A D C A D B )D ,C ,B ,A (F BCD A D C B A ABD D B )D ,C ,B ,A (F 321 习题三

3.1 将下列函数简化,并用“与非”门和“或非”门画出逻辑电路。

⑴=)C ,B ,A (F ∑m(0,2,3,7)= BC C A +?=BC C A ??

=∴+=F C B C A F C B C A +++

⑵=)C ,B ,A (F ∏M(3,6)= ∑m(0,1,2,4,5,7)= AC C A B +?+=AC C A B ???

=C B A C B A +++++

⑶=)D ,C ,B ,A (F C B C A D C A B A +++=C B C A B A ++=C A C B B A ??

=C B A C B A +++++

⑷=)D ,C ,B ,A (F CD B C A B A ++?=CD C A B A ++?=CD C A B A ???

=D A C A C B +++++

3.2 将下列函数简化,并用“与或非”门画出逻辑电路。 ⑴ =)C ,B ,A (F C )B A B A (AB ++=C B C A B A ?+?+?

=)D ,C ,B ,A (F ∑m(1,2,6,7,8,9,10,13,14,15)= D C B D C A CD B C B A ?+??++

3.3 分析下图3.48所示逻辑电路图,并求出简化逻辑电路。

解:如上图所示,在各个门的输出端标上输出函数符号。则

,

C B BC )C B )(C B (Z Z Z ,

C B Z ,

C B Z 21321?+=++==+=+=,C A C B BC Z Z Z ,C B C B A Z A Z ,C B C B Z Z ,C A Z +?+=+=++=+=+===43756354

C B A C B A A B C )C A C B BC )(C B C B A (Z Z F 76?+?+=+?+++=?=

=A (B ⊙C )+C (A ⊙B )

真值表和简化逻辑电路图如下,逻辑功能为:依照输入变量ABC 的顺序,若A 或C 为1,其余两个信号相同,则电路输出为1,否则输出为0。

3.4 当输入变量取何值时,图3.49中各逻辑电路图等效。

解:

.B A B A F ,B A F ,B A F 321+===

∴当A 和B 的取值相同(即都取0或1)时,这三个逻辑电路图等效。

3.5 假定AB X =代表一个两位二进制正整数,用“与非”门设计满足如下要求的逻辑电路:

⑴ 2

X Y =;(Y 也用二进制数表示)

因为一个两位二进制正整数的平方的二进制数最多有四位,故输入端用A 、B 两个变量,输出端用Y 3、Y 2、Y 1、Y 0四个变量。

⑴真值表: ⑵真值表:

∴Y 3=AB ,Y 2=B A ,Y 1=0,Y 0=B A + AB =B,逻辑电路为:

⑵3

X Y =,(Y 也用二进制数表示)

因为一个两位二进制正整数的立方的二进制数最多有五位,故输入端用A 、B 两个变量,输出端用Y 4、Y 3、Y 2、Y 1、Y 0五个变量。可列出真值表⑵

∴Y 4=AB ,Y 3=A AB B A =+,Y 2=0,Y 1= AB ,Y 0=B A + AB =B,逻辑电路如上图。 3.6 设计一个一位十进制数(8421BCD 码)乘以5的组合逻辑电路,电路的输出为十进制数(8421BCD 码)。实现该逻辑功能的逻辑电路图是否不需要任何逻辑门?

解:因为一个一位十进制数(8421BCD 码)乘以5所得的的十进制数(8421BCD 码)最多有八位,故输入端用A 、B 、C 、D 四个变量,输出端用Y 7、Y 6、Y 5、Y 4、Y 3、Y 2、Y 1、Y 0八个变量。

真值表:

用卡诺图化

简:Y 7=0,Y 6=A ,Y 5=B ,Y 4=C ,Y 3=0,Y 2=D ,Y 1=0,Y 0=D 。

逻辑电路如下图所示,在化简时由于利用了无关项,本逻辑电路不需要任何逻辑门。

3.7 设计一个能接收两位二进制Y=y 1y 0,X=x 1x 0,并有输出Z=z 1z 2的逻辑电路,当Y=X 时,Z=11,当Y>X 时,Z=10,当Y

用卡诺图化简:z 1=010100y y x y x y +++01010101x x y y x x y y +???

z 2=010100x x y x y x +++01010101x x y y x x y y +???

∴转化为“与非与非”式为:

逻辑电路为:

3.8 设计一个检测电路,检测四位二进制码中1的个数是否为奇数,若为偶数个1,则输出为1,否则为0。

解:用A、B、C、D代表输入的四个二进制码,F为输出变量,依题意可得真值表:

化简:

D

C

A

B

A

A

CD

B

=

+

+

B

+

+

+

+

F+

D

D

C

B

A

B

D

C

A

C

BC

A

ABCD

D

AB

D

C

用“与非”门实现的逻辑电路为:

3.9 判断下列函数是否存在冒险,并消除可能出

现的冒险。

⑴BC

CD

A

AB

F+

+

=

1

⑵BC

A

ACD

C

AB

D

C

A

F+

+

+

=

2

⑶)

C

A

)(

B

A

(

F+

+

=

3

解:⑴不存在冒险;

⑵存在冒险,消除冒险的办法是添加一冗余项BD;

即:BD

BC

A

ACD

C

AB

D

C

A

F+

+

+

+

=

2

⑶也存在冒险,消除冒险的办法也是添加一冗余因子项)

C

B

(+.

即:)

C

A

)(

B

A

(

F+

+

=

3

)

C

B (+.

习题四

4.1 图4.55所示为一个同步时序逻辑电路,试写出该电路的激励函数和输出函数表达式。

解:输出函数:

3121y y x x Z =;

111y x Y +=;212y x Y ⊕=;

激励函数:

3121y y x x Z T ==;

111y x Y J +==;

212y x Y K ⊕==; 111y x Y D +==。

4.2 已知状态表如表4.45所示,作出相应的状态图。 解:状态图为:

4.3 已知状态图如图4.56所示,作出相应的状态表。

解:相应的状态表为:

4.4 图4.57

所示状态图表示一个同步时序逻辑电路处于其中某一个

未知状态,。为了确定这个初始状态,可加入一个输入序列,并观察输出序列。如果输入序列和相应的输出序列为00/0、01/1、00/0、10/0、11/1,试确定该同步时序电路的初始状态。

解:为分析问题的方便,下面写出状态表:

当输入序列和相应的输出序列为00/0时,A 、B 、C 、D 都符合条件,但当序列为01/1时

要转为B 态或C 态,就排除了A 、D 态;

下一个序列为00/0时,B 、C 保持原态,接着序列为10/0时,B 态转为A 态,C 态转为D 态,但当最后一个序列为11/1时,只有D 态才有可能输出1,这就排除了B 态。故确定该同步时序电路的初始状态为C 态。

即C (初态)→(00/0)→C →(01/1)→C →(00/0)→C →(10/0)→D →(11/1)→C 4.5 分析图4.58所示同步电路,作出状态图和状态表,并说明该电路的逻辑功能。

解:激励方程:

211Q Q J =;2121Q Q xQ K +=;22Q x J =;22Q K =; 输出方程: 2211Q Z ;Q Z ==。 ∴各触发器的状态方程为:

11111n 1Q K Q J Q +=+=1

212121Q Q Q xQ Q Q Q ++=21Q Q x ;

22221

n 2Q K Q J Q +=+=2222Q Q Q Q x +=0;

由图可见,该电路的逻辑功能为:在时钟脉冲作用下,输入任意序列x 均使电路返回00状态。

4.6 图4.59为一个串行加法器逻辑框图,试作出其状态图和状态表。 解: 状态图和状态表为: 4.7 作1010序列检测

器的状态图,已知输入、输出序列为输入:0 0 1 0 1 0 0 1 0 1 0 1 0 1 0 1 1 0

输出:0 0 0 0 0 1 0 0 0 0 1 0 1 0 1 0 0 0

解:1010序列检测器的状态图如右。

4.8 设计一个代码检

测器,电路串行输入余3码,当输入非法数字时电路输出为0,否则输出为1,试作出状态图。

解:余3码的非法数字有六个,即0000,0001,0010,1101,1110,1111。 故其原始状态图为:

4.9简化表4.46所示的完全确定状态表。

解:表4.46所示的完全确定状态表的隐含表为:

考察给定的状态表,比较状态C和F。不论输入x是1还是0,它们所产生的输出都相同。当x=0时,所建立的次态也相同;但当x=1时,它们的次态不相同:

N(C,1)=A

N(F,1)=D

于是状态C,F能否合并,取决于状

态A,D能否合并。

对于状态A和D。不论输入x是1

还是0,它们所产生的输出都分别相

同。当x=1时,它们的次态为现态

的交错,但当x=0时,它们的次态

却不相同:

N(A,0)=E N(D,0)=B

因此,状态A,D能否合并,取决于状态B,E能否合并。

对于状态B和E。不论输入x是1还是0,它们所产生的输出都分

别相同。但当x=0时,它们的次态不同:

N(B,0)=A N(E,0)=D

当x=1时,它们所建立的次态也不相同:

N(B,1)=F N(E,1)=C

可以发现:状态CF、AD和BE能否各自合并,出现如上循环关系:

显然,由于这个循环中的各对状态,在不同的现输入下所产生

的输出是分别相同的,因而从循环中的某一状态时出发,都能保证

所有的输入序列下所产生的输出序列都相同。所以,循环中各对状

态分别可以合并。令

A={A,D},B={B,E}C={C,F}

代入原始状态表中简化后,再令D、

E代替G、H,可得最小化状态表。

4.10简化表4.47所示的不完全确定

状态表。

解:由给定的不完全确定状态表画

出隐含表,可以得出全部相容状态

对有五个,为:

(A,B)、

(C,D)、(C,

E)、(A,D)、

(B,C),

从这五个相

容状态对可

以看出它们

本身就是最

大相容类。

作出闭覆盖表寻找最小闭覆盖。

从闭覆盖表可以得出两种最小化方案及对应的最小化状态表:

从这两个方案可以看出,方案一相容类数目最少,是最佳方案。

4.11按照状态分配基本原则,将表4.48所示的状态表转换成二进制状态表。

解:给定的状态表中共有A、B、C、D

四个状态,其中B态和C态是可以合并

的最大相容类,可看成一个状态,如B

态。则根据状态分配原则1),A和B应

分配相邻代码;根据状态分配原则2),

A和B,B和D应分配相邻代码;根据

状态分配原则3),A和B、B和D应

分配相邻代码,根据状态分配原则4),状态B的代码应分配为00。

从分配二进制代码的卡诺图得代码分配结果:B为00;A为01;D为10。C为11是不会出现的状态,可作无关项处理。

于是可得二进制状态表。

4.12 若分别用J-K、T和D触发器作同步时序电路

的存储电路,试根据表4.49所示的二进制状态表设

计同步时序电路,并进行比较。

解:下面画出了分别用J-K、T和D触发器作同步

时序电路的存储电路时的激励函数和输出函数卡诺

图:

∴各触发器的激励函数和输出函数的表达式如下:

x y J 12+=;x y K 12+=;2221y x xy y x J ⊕=+?=;x K 1=;

212212y x y y x y x y T ⊕+=++=;)y y (x y y x xy xy y y x T 211221121++=++=;

1122y x y y D +=;

12121y xy y x y x D ++?==12121212y xy y y x y xy )y y (x +?=++=)y y (x 12⊕ 12y y Z =

各逻辑电路为:

由此可见,使用JK 触发器线路较为简单,门电路较少,成本较低。

4.13 设计一个能对两个二进制数X =x 1,x 2,┅,x n 和Y =y 1, y 2,┅, y n 进行比较的同步时序电路,其中,X ,Y 串行地输入到电路的x ,y 输入端。比较从x 1, y 1开始,依次进行到x n , y n 。电路有两个输出Z x 和Z y ,若比较结果X >Y ,则Z x 为1,Z y 为0;若X <Y ,则Z y 为1,Z x 为0;若X =Y ,则Z x 和 Z y 都为1。要求用尽可能少的状态数作出状态图和状态表,并作尽可能的逻辑门和触发器来实现。

解:两个数进行比较时,先比较高位,然后比较低位。

若x i = y i =0或1,两个输出Z x 和 Z y =1,还应比较低一位,若还相等,则两个输出不变。,若所有的位的数都相等,最后输出Z x 和 Z y =1,表示比较结果X =Y 。

比较过程中若出现某一位数不等,则比较结束。x i > y i 时输出Z x =1,Z y =0,比较结果X >Y ;x i <y i 时输出Z x =0,Z y =1,比较结果X <Y 。

因题意要求要求用尽可能少的状态数作出状态图和状态表,并作尽可能的逻辑门和触发

器来实现,故采用Moore 型电路,用两个D 触发器,这两个触发器的输出就是电路的输出,其中y 2表示Z y ,y 1表示Z x 。用A 、B 、C 三个状态分别表示X =Y 、X <Y 、X >Y 。

数字逻辑第五章课后习题答案

数字逻辑第五章课后习题答案 5-1、解:(1) 列出电路的激励函数和输出函数表达式: 1111J K CP CP ==??=? 22321,1J Q K CP Q ?==??=?? 323331 ,1 J Q Q K CP Q ?==?? =?? Q 1n+1); Q 2n+1); Q 3 n+1) (2) (4) 功能描述:由状态图可知,此电路为一带自启动能力的六进制计数器。 1 2 3 4 5 6 7 8 CP Q 1 Q 2 Q 3 时间图

5-2、解:表5.29所示为最小化状态表,根据状态分配原则,无“列”相邻(行相邻在脉冲异步时序电路中不适用。),在“输出”相邻中,应给AD、AC分配相邻代码。取A为逻辑0,如下卡诺图所示,状态赋值为:A=00,B=11;C=01;D=10。于是,二进制状态表 如下,根据D触发器的激励表可画出CP2、D2、CP1、D1、Z的卡诺图, 二进制状态表 状态编码 D触发器的激励表

5-3、解: 原始状态图 5-4、解:(1)写出电路的激励函数和输出函数表达式: Y 2=x 2+x 12x 1(2)作状态流程表: (3)作时间图:

设输入状态x2x1的变化序列为00 01 11 10 00 10 11 01.初始总态为(x2x1,y2y1)=(00,00). 从本题的状态流程表推演出总响应序列为 总态响应序列表 x2 x1 y2 y1 Z 时间图 (4)电路功能:当输入状态x2x1的变化序列为01 11 10 00时,电路输出高电平1,其余情况输出低电平0.因此,该电平异步时序电路为01 11 10 00序列检测器。 5-5、解: 时间图如下

数字逻辑期末复习题

一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++= 功能相等的表达式为 ___C_____。 A . D C B A F +++= B . D C B A F +++=

C . D C B A F = D .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 Q 的频率为_____D_____。 . 100KHz D .50KHz 9.下列器件中,属于时序部件的是_____A_____。 A . 计数器 B . 译码器 C . 加法器 D .多路选择器 10.下图是共阴极七段LED 数码管显示译码器框图,若要显示字符“5”,则译码器输出a ~g 应为____C______。 A . 0100100 B .1100011 C . 1011011 D .0011011

数字逻辑 习题与答案.(优选)

习题与答案 《数字逻辑与数字系统(第四版)》,白中英 第1章习题P30 7 证明下列等式 (2) AC AB C AB C B A ABC +=++ 证明: AB AC ABC C AB C B A ABC +=+++=左式 8 用布尔代数简化下列各逻辑函数表达式 (4) C AB C B BC A AC F +++= 解: BC BC BC A C B A BC C B A BC A ABC C B A C B BC A AC F =+=++=+++=++++=) () )(())()(( 9 将下列函数展开为最小项表达式 (1) )(),,(C B A C B A F += 解: ∑=+++++=+++++=+++=+++=+=() 7,6,5,4,1()()()()(),,(m C B A C B A C B A C B A C AB ABC C B A C B A C C B A C C AB C B A C B A B A AB C B A A B B A C B A C B A F 10 用卡诺图化简下列各式 (2) C B A D A B A D C AB CD B A F ++++= 解: C 由卡诺图知,D A B A F +=

(4) ∑∑ +=?)11,10,9,3,2,1()15,14,13,0(),,,(m D C B A F 解: A C AD AC B A F ++= 12 逻辑函数 A C C B B A X ++=,试用真值表、卡诺图、逻辑图、波形图表示该函数。 解:(1)真值表 (2)卡诺图 (3)逻辑图 (4)波形图 14 输入信号A ,B ,C 的波形如图P1.2所示,试画出电路输出F1、F2的波形图 B F C B A

数字逻辑自测题2

1 : (110.1)2的等值十六进制数是()(2分) A:110.1 B:15. 5 C:6. 8 D:2. 1 您选择的答案: 正确答案: C 知识点:把每四位二进制数分为一组,用等值的十六进制数表示。 ---------------------------------------------------------------------------- 2 : 两输入的与门在下列()时可能产生竞争—冒险现象(2分) A:一个输入端为0,另一个端为1 B:一个输入端发生变化,另一个端不变 C:两个不相等的输入端同时向相反的逻辑电平跳变 D:两个相等的输入端同时向相反的逻辑电平跳变 您选择的答案: 正确答案: C 知识点:门电路两个输入信号同时向相反的逻辑电平跳变的现象称为竞争 ---------------------------------------------------------------------------- 3 : 电路如下图所示,设起始状态Q2Q1=00,第3个上升沿,Q2Q1变为( ) (5分) A:00 B:01 C:10 D:11 您选择的答案: 正确答案: D 知识点:参考T触发器的特性表 ---------------------------------------------------------------------------- 4 : 逻辑函数Y(A, B, C, D)=∑m(0,2,4,6,9,13) + d(1,3,5,7,11,15)的最简与或式为()(5分) A:AD+A’D’ B:A’+D C: A+D D:A’C+AD

数字逻辑考题及答案

数字逻辑试题1答案 一、填空:(每空1分,共20分) 1、()8 =( )16 2、 10= ( )2 3、(FF )16= ( 255 )10 4、[X]原=,真值X= ,[X]补 = 。 5、[X]反=,[X]补= 。 6、-9/16的补码为,反码为 。 7、已知葛莱码1000,其二进制码为1111, 已知十进制数为92,余三码为1100 0101 8、时序逻辑电路的输出不仅取决于当时的输入,还取决于电路的状态 。 9、逻辑代数的基本运算有三种,它们是_与_ 、_或__、_非_ 。 10、1⊕⊕=B A F ,其最小项之和形式为_ 。AB B A F += 11、RS 触发器的状态方程为_n n Q R S Q +=+1_,约束条件为0=SR 。 12、已知B A F ⊕=1、B A B A F +=2,则两式之间的逻辑关系相等。 13、将触发器的CP 时钟端不连接在一起的时序逻辑电路称之为_异_步时序逻辑电路 。 二、简答题(20分) 1、列出设计同步时序逻辑电路的步骤。(5分) 答:(1)、由实际问题列状态图 (2)、状态化简、编码 (3)、状态转换真值表、驱动表求驱动方程、输出方程 (4)、画逻辑图 (5)、检查自起动 2、化简)(B A B A ABC B A F +++=(5分) 答:0=F 3、分析以下电路,其中RCO 为进位输出。(5分) 答:7进制计数器。 4、下图为PLD 电路,在正确的位置添 * , 设计出B A F ⊕=函数。(5分)

5分 注:答案之一。 三、分析题(30分) 1、分析以下电路,说明电路功能。(10分) 解: ∑∑==) 7,4,2,1()7,6,5,3(m Y m X 2分 A B Ci X Y 0 0 0 0 0 0 1 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 8分 2、分析以下电路,其中X 为控制端,说明电路功能。(10分) 解:XABC C B A X ABC X C B A X C B A X C B A X F ++++?+?= 4分 )()(ABC C B A X C B A X F ++⊕⊕= 4分 所以:X=0 完成判奇功能。 X=1 完成逻辑一致判断功能。 2分

数字逻辑试题与答案(独家)

一、单项选择题 1、触发器有两个稳态,存储8位二进制信息要_ A、2 B、8 C、16 D、32 2、下列门电路属于双极型的是_ A、OC门 B、PMOS C、NMOS D、CMOS 3对于钟控RS触发器,若要求其输出“0”状态不变,则输入的RS信号应为_ A、RS=XD B、RS=OX C、RS=X1 D、RS=1X 4、下列逻辑电路中为时序逻辑电路的是_ A、变量译码器 B、加法器 C、数码存储器 D、数据选择器 5、同步时序电路和异步时序电路比较,其差异在于后者_ A、没有触发器 B、没有统一的时钟脉冲控制 C、没有稳定状态 D、输出只与内部状态有关 6、要构成容量为4K*8的RAM,需要_片容量为256*4的RAM。 A、2 B、4 C、8 D、32 7、一个容量为1K×8的存储器有个存储单元。 A.8 B.8K C.8000 D.8190 8、寻址容量为16K×8的RAM需要根地址线。 A.4 B.8 C.14 D.16 E.16K 9、若R A M的地址码有8位,行、列地址译码器的输入端都为4个,则它们的输 出线(即字线加位线)共有条。 A.8 B.16 C.32 D.256 二、多项选择 10、逻辑变量的取值1和0可以表示_ A开关的组合,断开B、电位的高低 C、真与假 D、电流的有,无 11、在何种输入情况下,“或非”运算的结果是逻辑0__ A、全部输入是0 B、全部输如是1 C、任一输入为0,其他输入为1 D、任一输入为1 12、三态门输出高阻状态时,__是正确的说法。 A、用电压表测量指针不动 B、相当于悬空 C、电压不高不低C、测量电阻指针不动 13、下列触发器中,克服了空翻现象的有_ A、边沿D触发器 B、主从RS触发器 C、同步RS触发器 D、主从JK触发器 三、判断题 14、8421码1001比0001大。 15、数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。 16、因为逻辑表达式A+B+AB=A+B成立,所以AB=0成立。 17、优先编码器的编码信号时相互排斥的,不允许多个编码信号同时有效。 18、若两个函数具有相同的真值表,则两个逻辑函数必然相等。 19、D触发器没有记忆功能。 四、填空题 20、可以用____檫除EPROM中所存的信息。

数字逻辑课后题讲解

第二章 组合逻辑 下图所示为两种十进制数代码转换器,输入为余三码,输出为什么代码? 解: 这是一个余三码 至8421 BCD 码转换的电路 已知输入信号A,B,C,D 的波形如下图所示,选择适当的集成逻辑门电路,设计产生输出 F 波形的组合电路(输入无反变量) 解: 列出真值表如下: W= AB+ACD X = BC+BD+BCD Y = CD+CD Z = D )(D C A C B A D C B D B B A F 或+++=

9. 用红、黄、绿三个指示灯表示三台设备的工作情况:绿灯亮表示全部正常;红灯亮表示有一台不正常;黄灯亮表示有两台不正常;红、黄灯全亮表示三台都不正常。列出控制电路真值表,并选出合适的集成电路来实现。 解: 设:三台设备分别为 A 、B 、C : “1”表示有故障,“0”表示无故障;红、黄、绿灯分别为Y1、Y2、Y3:“1”表示灯亮;“0”表示灯灭。据题意列出真值表如下: 于是得: 13.用一片4:16线译码器将8421BCD 码转换成余三码,写出表达式 解: C B A C B A Y C B A BC Y C B A Y ++==⊕+=⊕⊕=3) (21 )8,6,4,2,0(),,,()8,7,4,3,0(),,,()9,4,3,2,1(),,,()9,8,7,6,5(),,,(∑=∑=∑=∑=D C B A Z D C B A Y D C B A X D C B A W

设计二进制码/格雷码转换器 解:真值表 B 12A 2B 4:16线译码器 Y 6 Y 8 Y 2Y 4Y 3 Y 7Y 2Y 6

数字逻辑试卷及答案

数字逻辑试卷及答案 TTA standardization office【TTA 5AB- TTAK 08- TTA 2C】

《数字电子技术基础》期终考试试题(110分钟)一、填空题:(每空1分,共15分) =+的两种标准形式分别为()、 1.逻辑函数Y A B C ()。 2.将2004个“1”异或起来得到的结果是()。 3.半导体存储器的结构主要包含三个部分,分别是()、()、()。 4.8位D/A转换器当输入数字量为5v。若只有最低位为高电平,则输出电压为 ()v;当输入为,则输出电压为()v。 5.就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰能力强,()的转换速度快。 6.由555定时器构成的三种电路中,()和()是脉冲的整形电路。7.与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。 二、根据要求作题:(共15分) 1.将逻辑函数 P=AB+AC写成“与或非”表达式,并用“集电极开路与非门”来实现。2.图1、2中电路均由CMOS门电路构成,写出P、Q 的表达式,并画出对应A、 B、C的P、Q波形。 三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000~111连续变化时,Y的波形图; 3)说明电路的逻辑功能。

四、设计“一位十进制数”的四舍五入电路(采用8421BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图。(15分) 五、已知电路及CP、A的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分) B C 六、用T触发器和异或门构成的某种电路如图5(a)所示,在示波器上观察到波形如图5(b)所示。试问该电路是如何连接的?请在原图上画出正确的连接图,并标明T的取值。(6分) 七、图6所示是16*4位ROM和同步十六进制加法计数器74LS161组成的脉冲分频电路。ROM中的数据见表1所示。试画出在CP信号连续作用下的D3、D2、D1、D0输出的电压波形,并说明它们和CP信号频率之比。(16分) 表1: 地址输入数据输出 A3 A2 A1 A0 D3 D2 D1 D0 0 0 0 0 0 0 0 1 1 1 1 1 0 0 0 0

数字逻辑(第六版 白中英)课后习题

第四章习题答案1.设计4个寄存器堆。 解: 寄存器组 2. 设计具有4个寄存器的队列。 解: 输入数据输出数据 3.设计具有4个寄存器的堆栈 解:可用具有左移、右移的移位寄存器构成堆栈。

栈顶 SR 1 SR 2 SR 3 输入数据 输出数据 压入弹出 4.SRAM 、DRAM 的区别 解:DRAM 表示动态随机存取存储器,其基本存储单元是一个晶体管和一个电容器,是一种以电荷形式进行存储的半导体存储器,充满电荷的电容器代表逻辑“1”,“空”的电容器代表逻辑“0”。数据存储在电容器中,电容存储的电荷一般是会慢慢泄漏的,因此内存需要不时地刷新。电容需要电流进行充电,而电流充电的过程也是需要一定时间的,一般是0.2-0.18微秒(由于内存工作环境所限制,不可能无限制的提高电流的强度),在这个充电的过程中内存是不能被访问的。DRAM 拥有更高的密度,常常用于PC 中的主存储器。 SRAM 是静态的,存储单元由4个晶体管和两个电阻器构成,只要供电它就会保持一个值,没有刷新周期,因此SRAM 比DRAM 要快。SRAM 常常用于高速缓冲存储器,因为它有更高的速率; 5. 为什么DRAM 采用行选通和列选通 解:DRAM 存储器读/写周期时,在行选通信号RAS 有效下输入行地址,在列选通信号CAS 有效下输入列地址。如果是读周期,此位组内容被读出;如果是写周期,将总线上数据写入此位组。由于DRAM 需要不断刷新,最常用的是“只有行地址有效”的方法,按照这种方法,刷新时,是在RAS 有效下输入刷新地址,存储体的列地址无效,一次选中存储体中的一行进行刷新。每当一个行地址信号RAS 有效选中某一行时,该行的所有存储体单元进行刷新。 6. 用ROM 实现二进制码到余3码转换 解: 真值表如下: 8421码 余三码 B 3B 2 B 1 G 3G 2G

数字逻辑试题及答案

期数字逻辑试题A 一、填空题(共15分,每空1分) 1、分别写出逻辑函数的五种表示方法()、()、()、()、()。2、数字逻辑电路的两大种类分别是()电路,()电路。 3、( )和( )是衡量A/D转换器D/A转换器性能优劣的主要指标。 4、消除竞争冒险的4种方法分别是()、()、()、()。 5、555定时器电路提供了一个复位电平为()和置位电平为()。 二、选择题(共10分,每题5分) 1、将(175)10十进制数转换为二进制数下列选择结果中正确的是()。 A、二进制数(11010111)2 B、二进制数(10110011)2 C、二进制数(10011010)2 D、二进制数(1010111 1)2 2、运用摩根定理变换Y=X Q Z 的表达式,下列选择中正确的是()。 A、Y=( X + Q + Z ) B、Y=(X + Q +Z) C、Y=(X + Q + Z) D、Y=( X + Q + Z ) 三、综合题(共20分,每题10分) 1、对如图电路分别写出E = 0 或E = 1 时,函数F 的逻辑表达式。 2、用图形法化简函数为最简与或式 F(A,B,C,D)=∑M(0,1,2,3,6,8)+∑D(10,11,12,13,14,15) 四、组合逻辑电路分析、设计题(共25分,1题10分,2题15分) 1、写出下图电路(电路由四个或非门组成)输出信号的逻辑表达式,并说明其功能。 F

2、用集成译码器74LS138实现下列组合逻辑函数 Z1=AB+AB+BC Z2=AB+BC+CA 30分,每题15分) 1、一个时序逻辑电路如下图所示(由两个D触发器组成),请画出其状态图。 CP 2、用JK触发器设计一个同步、上升沿触发的四进制计数器。状态图如下 /0 /0 /0 /1

(完整版)数字逻辑期末试卷(B卷)试题及答案

华东师范大学期末试卷(B) 2009 — 2010 学年第 一 学期 课程名称:___数字逻辑________ 学生姓名:___秦宽________________ 学 号:_2013041046__________________ 专 业:____软件工程_______ 年级/班级:13级软件工程 课程性质:公共必修、公共选修、专业必修、专业选修 一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 答案:(111 1101 1010)B = (7DA )H = (0010 0000 0001 0000)8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 答案:与逻辑 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 答案:))((C A B A F D ++= ∑=m F (5,6,7) 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 答案:'D A + 4. 从结构上看,时序逻辑电路的基本单元是 。 答案:触发器 5. JK 触发器特征方程为 。 答案:Q K JQ ''+ 6.A/D 转换的一般步骤为:取样,保持, ,编码。 答案:量化

二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 答案:B 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 答案:D 3. 为实现“线与”逻辑功能,应选用( )。 A) 与非门 B) 与门 C) 集电极开路(OC )门 D) 三态门 答案:C 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 答案:A 5. 在下列逻辑部件中,属于组合逻辑电路的是( )。 A) 计数器 B) 数据选择器 C) 寄存器 D) 触发器 答案:B 6. 已知某触发器的时钟CP ,异步置0端为R D ,异步置1端为S D ,控制输入端V i 和输出Q 的波形如图2所示,根据波形可判断这个触发器是( )。 B C

数字逻辑习题参考解答

第6章习题参考解答 6-3 画出74x27三输入或非门的德摩根等效符号。 解:图形如下 6-10 在图X6.9电路中采用74AHCT00替换74LS00,利用表6-2的信息,确定从输入端到输出端的最大时间延迟。 解:该图中从输入到输出需要经过6个NAND2; 每个NAND2(74AHCT00)的最大时间延迟为9 ns; 所以从输入端到输出端的最大时间延迟为:54 ns。 6-31 BUT门的可能定义是:“如果A1和B1为1,但A2或B2为0,则Y1为1;Y2的定义是对称的。”写出真值表并找出BUT门输出的最小“积之和”表达式。画出用反相门电路实现该表达式的逻辑图,假设只有未取反的输入可用。你可以从74x00、04、10、20、30组件中选用门电路。 解:真值表如下 A1 B1 A2 B2 Y1 Y2 A1 B1 A2 B2 Y1 Y2 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 1 0 0 1 0 0 0 0 1 0 0 0 1 0 1 0 0 0 0 0 1 1 0 1 1 0 1 1 0 1 1 0 0 0 0 1 1 0 0 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 1 0 0 0 1 1 1 0 1 0

0 1 1 1 0 1 1 1 1 1 0 0 利用卡诺图进行化简,可以得到最小积之和表达式为 Y1=A1·B1·A2’+A1·B1·B2’ Y2=A1’·A2·B2+B1’·A2·B2Y 2 采用74x04得到各反相器 采用74x10得到3输入与非 采用74x00得到2输入与非 实现的逻辑图如下: 6-32 做出练习题6-31定义的BUT 门的CMOS 门级设计,可以采用各种反相门逻辑的组合(不一定是二级“积之和”),要求使用的晶体管数目最少,写出输出表达式并画出逻辑图。 解:CMOS 反相门的晶体管用量为基本单元输入端数量的2倍; 对6-31的函数式进行变换: ()()()()'2211'2'211'211'2111B A B A B A B A B B A A B A Y ???=+??=??+??= ()()()()'1122'1'122'122'1222B A B A B A B A B B A A B A Y ???=+??=??+??= 利用圈-圈逻辑设计,可以得到下列结构: ()()()'''22'111B A B A Y ?+?= ()()()'''11'222B A B A Y ?+?=

数字逻辑自测题5

数字逻辑自测题5

1 : VOL表示什么含义(2分) A:输出低电平 B:输入高电平 C:输出高电平 D:输入低电平 您选择的答案: 正确答案: A 知识点:O即output,表示输出;L即low,表示低电平 ---------------------------------------------------------------------------- 2 : 下列说法不正确的是()(2分) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 您选择的答案: 正确答案: D 知识点:一个逻辑函数的最简与或式形式不是唯一的 ---------------------------------------------------------------------------- 3 : 二—十进制译码器输入为()(2分) A:BCD代码 B:三位二进制数 C:十进制数 D:二十进制数 您选择的答案: 正确答案: A 知识点:二—十进制译码器的逻辑功能是将输入BCD码的10个代码译成10个高、低电平输出信号---------------------------------------------------------------------------- 4 : 触发器的状态转换图如下,则它是:()(5分) A:T触发器 B:SR触发器 C:JK触发器 D:D触发器 您选择的答案: 正确答案: A 知识点:见T触发器状态转换图

数字逻辑习题及答案.

数字逻辑习题及答案 一. 填空题 1.一个触发器有Q和Q两个互补的输出引脚,通常所说的触发器的输出端是指 Q ,所谓置位就是将输出端置成 1 电平,复位就是将输出端置成 0 电平。 2.我们可以用逻辑函数来表示逻辑关系,任何一个逻辑关系都可以表示为逻辑函数的与或表达式,也可表示为逻辑函数的或与表达式。 3.计数器和定时器的内部结构是一样的,当对不规则的事件脉冲计数时,称为计数器,当对周期性的规则脉冲计数时,称为定时器。 4.当我们在计算机键盘上按一个标为“3”的按键时,键盘向主机送出一个ASCII码,这个ASCII码的值为 33H 。 5.在5V供电的数字系统里,所谓的高电平并不是一定是5V,而是有一个电压范围,我们把这个电压范围称为高电平噪声容限;同样所谓的低电平并不是一定是0V,而也是有一个电压范围,我们把这个电压范围称为低电平噪声容限。 二. 选择题 1.在数字系统里,当某一线路作为总线使用,那么接到该总线的所有输出设备(或器件)必须具有 b 结构,否则会产生数据冲突。 a. 集电极开路; b. 三态门; c. 灌电流; d. 拉电流2.TTL集成电路采用的是 b 控制,其功率损耗比较大;而MOS 集成电路采用的是 a 控制,其功率损耗比较小。 a. 电压; b.电流; c. 灌电流; d. 拉电流 3.欲将二进制代码翻译成输出信号选用 b ,欲将输入信号编成二进制代码选用 a ,欲将数字系统中多条传输线上的不同数字信号按需要选择一个送到公共数据线上选用 c ,

欲实现两个相同位二进制数和低位进位数的相加运算选用 e 。 a. 编码器; b. 译码器; c. 多路选择器; d. 数值比较器; e. 加法器; f. 触发器; g. 计数器; h. 寄存器 4. 卡诺图上变量的取值顺序是采用 b 的形式,以便能够用几何 上的相邻关系表示逻辑上的相邻。 a. 二进制码; b. 循环码; c. ASCII 码; d. 十进制码 5. 根据最小项与最大项的性质,任意两个不同的最小项之积为 0 ,任意两个不同的最大项之和为 1 。 a. 不确定; b. 0 ; c. 1 三. 简答题 1.分别写出(或画出)JK 、D 、T 和T ’四个触发器的特征方程、真 值表和状态转换图。 2.请分别完成下面逻辑函数的化简。 1). )DE C B A (*)E D )(C B A (F ++++++= 答:原式)DE C B A (*)]E D ()C B A ([+++++++= )DE )C B A ((*))DE )C B A ((++++++=)) C B A ()C B A ((DE DE )C B A ()C B A (+++++++++++= DE = 2). )EH D B A )(B A )(C A )(C B A (F +++++++= 答:原式的对偶式为: ) H E (ABD AB AC C AB 'F ++++= ))H E (BD B C C B (A ++++=)] H E (BD B B C [A ++++==A A )'A ()''F (===∴原式 3.请分别说明A/D 与D/A 转换器的作用,说明它们的主要技术指标, 并进一步说明在什么情况下必须在A/D 转换器前加采样·保持电路。 答:A/D 与D/A 转换器分别能够将模拟量转换成数字量与数字量转换 成模拟量,通过这样的转换电路,能够将模拟系统和数字系统联

数字逻辑期末复习题

一、选择题(每小题2 分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++= 功能相等的表达式为___C_____。 A . D C B A F +++= B . D C B A F +++= C . D C B A F = D .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 _____D_____。 _____A_____。 C . 加法器 5”,则译码器输出a ~g 应为 ____C______。 A . 0100100 B .1100011 C . 1011011 D .0011011 分) 1对应的电压范围是___N 2____个输出端。 、_T_等四种类型。 16.对于D 触发器,若现态Q n = 0,要使次态Q n+1 =0,则输入D=__0_____。 17.请写出描述触发器逻辑功能的几种方式___特性表、特性方程、状态图、波形图_________。 18.多个集电极开路门(OC 门)的输出端可以 _____线与_______。 19.T 触发器的特性方程是___n n Q T Q ⊕=+1_____,当T=1时,特性方程为___n n Q Q =+1_____,这时触发器可以用来作___2分频器_____。 20.构造一个十进制的异步加法计数器,需要多少个 __4____触发器。计数器的进位Cy 的频率与计数器时钟脉冲CP 的频率之间的关系是____1﹕10_________。

广工EDA数字逻辑课后习题问题详解

习题答案 第1章 一、单选题 (1)B (2)C (3)B (4)C (5)D (6)B (7)C (8)D (9)C (10)C (11)D (12)D (13)A (14)D 二、判断题 (1)√ (2)√ (3)× (4)× (5)× (6)× (7)√ (8)× 三、填空题 (1)10000111.101、207.5、87.A (2)185.75 (3)1001 0100 (4)B A ?、B A +、B A B A +、AB B A + (5)C B A ABC C AB ++ (6)C A AD ? (7)B A B A + (8)2n (9)1 (10)1 四、综合题 (1) ① B A B A AD B B A AD DE B B A AD C A A C DE C B B D C A A C B DE C B B BD C A A Y +=++=++=++++=+++++=+++++=)1()()()()(

② B A B A B A D D B A B A A D B D B A B A B B A D B A D B A B A B A AB Y +=+++=++++=+++++=+++++=)1)(())(())()(())(( ③ D B C B A D C D B C B DE B B A C A D B D C C B DE B C B C A D BC A D B D C C B DE B A C B A AC DE B A D BC A C B A D C D B C B AC Y ++=+++++=+++++++=+++++++=+++++++=)1()1()()()( (2) ① BCD C B D B A B A D C B A Y ++++= 函数卡诺图如下: 化简结果为:BD D A D C Y ++= ② F(A,B,C,D)=Σm(0,2,4,5,6,7,8,10,12,14) 函数卡诺图如下: 化简结果为:D B A D C B A F +=),,,( ③ F(A,B,C,D)=Σm(1,2,6,7,10,11)+Σd(3,4,5,13,15)

《数字逻辑与电路》复习题及答案

《数字逻辑与电路》复习题 第一章数字逻辑基础(数制与编码) 一、选择题 1.以下代码中为无权码的为CD。 A. 8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 2.以下代码中为恒权码的为AB 。 A.8421BCD码 B. 5421BCD码 C. 余三码 D. 格雷码 3.一位十六进制数可以用 C 位二进制数来表示。 A. 1 B. 2 C. 4 D. 16 4.十进制数25用8421BCD码表示为 B 。 A.10 101 B.0010 0101 C.100101 D.10101 5.在一个8位的存储单元中,能够存储的最大无符号整数是CD 。 A.(256)10 B.(127)10 C.(FF)16 D.(255)10 6.与十进制数(53.5)10等值的数或代码为ABCD 。 A. (0101 0011.0101)8421BCD B.(35.8)16 C.(110101.1)2 D.(65.4)8 7.与八进制数(47.3)8等值的数为:A B。 A.(100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 8.常用的BC D码有C D 。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 二、判断题(正确打√,错误的打×) 1. 方波的占空比为0.5。(√) 2. 8421码1001比0001大。(×) 3. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。(√) 4.格雷码具有任何相邻码只有一位码元不同的特性。(√) 5.八进制数(17)8比十进制数(17)10小。(√) 6.当传送十进制数5时,在8421奇校验码的校验位上值应为1。(√) 7.十进制数(9)10比十六进制数(9)16小。(×) 8.当8421奇校验码在传送十进制数(8)10时,在校验位上出现了1时,表明在传送过程中出现了错误。(√) 三、填空题

数字逻辑课后习题答案

习题五 5.1 分析图5.35所示的脉冲异步时序电路。 解:各触发器的激励方程和时钟方程为: 1K J 11==;1K ,Q J 232==;1K ,Q Q J 3323== CP CP 1=;132Q CP CP == ∴各触发器的状态方程为: 11n 1Q Q =+ (CP 的下降沿触发); 321n 2 Q Q Q =+ (Q 1的下降沿触发); 3 21 n 3Q Q Q =+ (Q 1的下降沿触发) 该电路是一能自启动的六进制计数器。 5.2 已知某脉冲异步时序电路的状态表如表5.29所示,试用D 触发器 和适当的逻辑门实现该状态表描述的逻辑功能。 解:表5.29所示为最小化状态表。根据状态分配原则,无“列”相邻 (行相邻在脉冲异步时序电路中不适用。),在“输出” 相邻中,应 给AD 、AC 分配相邻代码。取A 为逻辑0,如下卡诺图所示,状态赋 值为:A=00,B=11;C=01;D=10。于是,二进制状态表如下,根据 D 触发器的激励表可画出CP 2、D 2、CP 1、D 1、Z 的卡诺图,得到激励函数和输出函数,以及画出所设计的脉冲异步时序电路。

得激励方程和输出方程: 22x CP =; 32212x x Q x D ++=; 3221x x Q CP +=; 31211x Q x Q D +=; )Q Q (x Q x Q x Z 2 132313+=+=。 5.3 设计一个脉冲异步时序电路,该电路有三个输入端x 1、x 2和x 3,一个输出端Z 。仅当输入序列x 1-x 2-x 3出现时,输出Z 产输出脉冲,并且与输入序列的最后一个脉冲重叠。试作出该电路的原始状态图和状态表。 解:

数字电路与数字逻辑练习题

《数字电路与数字逻辑》练习题一 一、填空 1.将下列二进制数转为十进制数 (1001011)B = ( )D (11.011)B = ( )D 2.将下列有符号的十进制数转换成相应的二进制数真值、原码、反码和补码 (+122)=( )真值 = ( )原码 =( )反码 = ( )补码 3.把下列4个不同数制的数(376.125)D 、(110000)B 、(17A)H 、(67)O ( 按从大到小的次序排列( )>( )>( )>( ) 。将下列各式变换成最简与或式的形式 =+B AB ( ) =+AB A ( ) =++BC C A AB ( ) 4.将下列二进制数转为十进制数 (101000)B = ( )D (11.0101)B = ( )D 5.将下列十进制数转为二进制数,八进制数和十六进制数 (0.8125)= ( )B = ( )O = ( )H (254.25)= ( )B = ( )O = ( )H 6.将下列有符号的十进制数转换成相应的二进制数真值、原码、反码和补码 (+125)=( )真值 = ( )原码 =( )反码 = ( )补码 (—42)=( )真值 = ( )原码 =( )反码 = ( )补码 7.逻辑函数C A CD AB F ++=的对偶函数是__________________________;其反函数是_________________________。 8.当j i ≠时,同一逻辑函数的最小项=?j i m m _________;两个最大项=+j i M M ___________。

9.(43.5)10=(_________)2=(_________)16。 10.n个输入端的二进制译码器,共有_________个输出端,对于每一组输入代码,将有_________个输出端具有有效电平。 11.将下列二进制数转为十进制数 (1010001)B = ()D (11.101)B = ()D 12.将下列有符号的十进制数转换成相应的二进制数真值、原码、反码和补码 (+254.25)=()真值 = ()原码 =()反码 = ()补码 13.把下列4个不同数制的数(76.125)D、(27A)H 、(10110)B、(67)O 按从大到小的次序排列()>()>( )>( ) 。 14.对于D触发器,欲使Qn+1=Qn,输入D=(),对于T触发器,欲使Qn+1=Qn,输入T=() 15.一个512*8位的ROM芯片,地址线为()条,数据线为()条。 16.对32个地址进行译码,需要()片74138译码器。 17.存储器起始地址为全0,256K*32的存储系统的最高地址为()。 18.将下列各式变换成最简与或式的形式 () () () 19.五级触发器的进位模数最大为()进制。 20.十进制数(78.25)10转换成十六进制数是(),转换成二进制数是(),转换成八进制数是(),转换成8421BCD码为()。 21.将二进制1100110转换成余3码为(),转换成格雷码为()。 22.设真值X= —0101,则X的原码为(),反码为(),补码为()。 23.卡诺图是()的一种特殊形式。利用卡诺图法花剑逻辑函数比()法更容易得到简化的逻辑函数表达式。 24.函数L=AC+BC的对偶式为:()。 25.一个1024*16位的ROM芯片,地址线为()位,数据线为()位。 26.对于JK触发器,若J=K,可完成()触发器的逻辑功能。 27.组合逻辑电路中部包含存储信号的()元件,它一般是由各种()组合而成的。 28.对64个地址进行译码,需要()片74138译码器。 29.AB+AC化成最小项的形式为()。 30.将变换成或非的形式为()。 31.数制转换 (6.3125)10=( )2 (1101.1101)2=( )10 32.将下列有符号的十进制数转换成相应的二进制数真值﹑原码﹑反码和补码 (+11/32)=( )真值=( )原码 =( )反码=( )补码 (-15/64)=( )真值=( )原码 =( )反码=( )补码 33.把下列3个数(76.125)D﹑(27A)H﹑(67)O按从大到小的次序排列 ( )>( )>( )

《数字逻辑电路》试题及答案

、填空题( 1-5小题每空 1分, 6-10 小题每空 2分,共20分) 1.(16.25) 10 = ( _____________________ ) 2 = ( ) 8 = ( ) 16 2.三态门输出的三种状态分别为:、和。 3.基本 RS 触发器的约束条件是______________ 。 4.多谐振荡器是一种波形_______ 电路,它没有稳态,只有两个_______ 。 5.把 JK 触发器改成 T 触发器的方法是____________ 。 6.F(A,B,C,D) A (B C (D E) ) 的对偶式为________________________________ 7.十进制数( -12 )的补码形式为_____________________ 。 8.某信号采集系统要求一片 A/D 转换器集成芯片在 1S内对 16 个热电偶的输出电压分时进行 A/D 转换。已知热电偶输出电压范围为 0~0.025V(对应 0~450 o C温度范围),需要分辨的温度为 0.1 o C,试问选用位的 A/D 转换器。 9.RAM 存储器地址线 4 条,数据线 8 条,其存储容量为_______ 。 10.写出下图有 ROM 构成的组合逻辑函数式 Y2= ____________________ 。 二、逻辑函数化简证明题(共 3题,共 20 分) 1.(6 分)用公式法化简下面逻辑函数为最简与或式F(A,B,C) (ABC) (AB ) 2.(6 分)证明下面逻辑恒等式,方法不限。(A C )(B D)(B D ) AB BC 3.(8 分)用卡诺图法求下面逻辑函数的反函数,用最简与或式表示。F(A,B,C,D) ABC ABD C D ABC ACD ACD

相关主题
文本预览
相关文档 最新文档