当前位置:文档之家› 数电报告用与非门实现基本逻辑电路

数电报告用与非门实现基本逻辑电路

数电报告用与非门实现基本逻辑电路
数电报告用与非门实现基本逻辑电路

实验一:基本门电路的应用

一. 实验目的

1. 熟悉基本门电路特别是与非门的使用;

2. 学会用与非门实现简单的逻辑函数; 二. 实验原理

1. 与非门实现与运算

AB AB F ==1 该逻辑函数的逻辑电路如图1所示:

图1

2. 与非门实现或运算

B A B A B A F =+

=+=2

该逻辑函数的逻辑电路如图2所示:

图2

3.与非门实现异或运算

1(悬空)

1F

A B A

B 2F

AB

B AB A AB B AB A AB B AB A B A B A F 3=+=+=+=

该逻辑函数的逻辑电路如图3所示:

图3

三. 实验内容

1. 输入端A 接f=1kHz,Vpp=5v 的方波,偏移量

2.5v 。B 端接开关。输出端接示波器的通道二,通道一接输入端信号。

2. 按照图1搭建电路,分别在B 端是开和关两种状态时,并电路进行测试;

3. 按照图2搭建电路,分别在B 端是开和关两种状态时,并电路进行测试;

4. 按照图3搭建电路,分别在B 端是开和关两种状态时,并电路进行测试; 四. 实验结果 1.AB F =1

A

B

3

F A

B为关 B为开 2.B

A

F+

=

2

B为关 B为开3.B

A

B

A

F

3

+

=

B为关 B为开

数字电路实验计数器的设计

数字电路与逻辑设计实验报告实验七计数器的设计 :黄文轩 学号:17310031 班级:光电一班

一、实验目的 熟悉J-K触发器的逻辑功能,掌握J-K触发器构成异步计数器和同步计数器。 二、实验器件 1.数字电路实验箱、数字万用表、示波器。 2.虚拟器件: 74LS73,74LS00, 74LS08, 74LS20 三、实验预习 1. 复习时序逻辑电路设计方法 ①根据设计要求获得真值表 ②画出卡诺图或使用其他方式确定状态转换的规律 ③求出各触发器的驱动方程 ④根据已有方程画出电路图。 2. 按实验内容设计逻辑电路画出逻辑图 Ⅰ、16进制异步计数器的设计 异步计数器的设计思路是将上一级触发器的Q输出作为下一级触发器的时钟信号,置所有触发器的J-K为1,这样每次到达时钟下降沿都发生一次计数,每次前一级 触发器从1变化到0都使得后一级触发器反转,即引发进位操作。 画出由J-K触发器组成的异步计数器电路如下图所示:

使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位 触发器的输出,以及时钟信号。: 可以看出电路正常执行16进制计数器的功能。 Ⅱ、16进制同步计数器的设计 较异步计数器而言,同步计数器要求电路的每一位信号的变化都发生在相同的时间点。

因此同步计数器各触发器的时钟脉冲必须是同一个时钟信号,这样进位信息就要放置在J-K 输入端,我们可以把J-K端口接在一起,当时钟下降沿到来时,如果满足进位条件(前几位触发器输出都为1)则使JK为1,发生反转实现进位。 画出由J-K触发器和门电路组成的同步计数器电路如下图所示 使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位触发器的输出,计数器进位输出,以及时钟信号。:

数电实验__门电路逻辑功能及测试

一、实验目的 1、熟悉门电路逻辑功能。 2、学习数字电路实验的一般程序及方法。 3、熟悉数字电路设备的使用方法。 二、实验仪器及材料 1、数字万用表 2、器件: 74LS00 二输入端四“与非”门2片 4LS20 四输入端二“与非”门1片 74LS86 二输入端四“异或”门1片 三、预习要求 1、复习门电路的工作原理及相应的逻辑表达式。 2、熟悉所用集成电路的引脚位置及各引脚用途(功能)。 四、实验内容 实验前先检查设备的电源是否正常。然后选择实验用的集成电路,按设计的实验原理图(逻辑图)接好连线,特别注意V CC及地线(GND)不能接错。线接好后经检查无误方可通电实验。实验中改动接线须断开电源,改接好线后再通电实验。 1、测试门电路逻辑功能 ⑴、选用四输入端二“与非”门芯片74LS20一片,按图1.1接线。输入端接四只电平开关(电平开关输出插口),输出端接任意一个电平显示发光二极管。 ⑵、将电平开关按表1.1置位,分别测输出电压及逻辑状态。 2、异或门逻辑功能测试 ⑴、选二输入端四“异或”门芯片74LS86一片,按图1.2接线。输入端A、B、C、D接四只电平开关,E点、F点和输出端Y分别接三只电平显示发光二极管。 ⑵、将电平开关按表1.2置位,将结果填入表中。

4、用“与非”门组成其它门电路并测试验证⑴、组成“或非”门。用一片二输入端四“与非”门芯组成一个“或非”门:Y=A+B,画出逻辑电路图,测试并填表1.5。 ⑵、组成“异或”门。 A、将“异或”门表达式转化为“与非”门表达式。 B、画出逻辑电路图。 C、测试并填表1.6。

思考题: (1)、怎样判断门电路的逻辑功能是否正常? 答:门电路功能正常与否的判断:(1)按照门电路功能,根据输入和输出,列出真值表。(2)按真值表输入电平,查看它的输出是否符合真值表。(3)所有真值表输入状态时,它的输出都是符合真值表,则门电路功能正常;否则门电路功能不正常。 (2)、“与非”门的一个输入端接连续脉冲,其余端什么状态时允许脉冲通过?什么状态时禁止脉冲通过? 答:与非门接髙电平则其他信号可以通过,接低电平则输出恒为0,与非门的真值表是“有0出1,全1出0”。所以一个输入接时钟,就是用时钟控制与非门,当时钟脉冲为高电平时,允许信号通过,为低电平时关闭与非门。 (3)、“异或”门又称可控反相门,为什么? 答:“异或”函数当有奇数个输入变量为真时,输出为真! 当输入X=0,Y=0 时输出S=0 当输入X=0,Y=1 时输出S=1 0代表假1代表真 异或门主要用在数字电路的控制中! 实验小结 由于是第一次数字电路动手试验,操作不是很熟悉,搞得有些手忙脚乱,加之仪器有一点陈旧,电路板上有些地方被烧过,实验中稍不留神接到了烧过的电路板就很难得出正确的结果。 本次试验加深了我对门电路逻辑功能的掌握,对数字电路实验的一般程序及方法有了一定的了解,对数字电路设备的使用方法也有了初步掌握。 在以后的实验中,我会好好预习,认真思考,实验的时候小心仔细,对实验结果认真推敲,勤于思考勤于动手,锻炼自己的动手能力。

数电设计性实验报告

福州大学电气工程与自动化10级 设计性实验报告 姓名__________ 学号_______ 班级_______ 指导老师______姜海燕________ 实验时间_____2012.6.1______ 实验题目____彩灯控制器的设计_ (这是一页是首页)

实验目的: 1.掌握电路板焊接技术; 2.学习调试系统电路,提高实验技能; 3.了解彩灯控制器的工作原理及其结构。 实验所用原件清单: 74LS194 2片、74LS161 1片、74LS112 1片、555定时器、 电容1μF 1个、电阻300?8个、电阻500? 1个、电阻5k? 1个、发光二级管8个、导线、电路板 原理(包括主要公式、电路图): 1、设计任务:节目的彩灯五彩缤纷,彩灯的控制电路种类繁多。用移位寄存器 为核心元件设计制作一个8路彩灯控制器。 2、设计要求: ①彩灯控制电路要求控制8个彩灯; ②要求彩灯组成以下两种花型,每种花型连续循环两次,两种花型轮流交替。 节拍脉冲编码Q A Q B Q C Q D Q E Q F Q G Q H 花型Ⅰ花型Ⅱ 1 00000000 00000000 2 00011000 10001000 3 00111100 11001100 4 01111110 11101110 5 11111111 11111111 6 11100111 01110111 7 11000011 00110011 8 10000001 00010001 9 00000000 00000000 3、设计要点 ①编码发生器:编码发生器要求根据花型按节拍送出8位状态编码信号,以 控制彩灯按规律亮灭。因为彩灯路数少,花型要求不多,该题宜选用移位 寄存器输出8路数字信号控制彩灯发光。编码发生器建议采用两片4位通 用移位寄存器74194来实现。74194具有异步清零和同步置数、左移、右 移、保持等多种功能,控制方便灵活。移位寄存器的8个输出信号送至LED 发光二极管,编码器中数据输入端和控制端的接法由花型决定; 控制电路:控制电路为编码器提供所需的节拍脉冲和驱动信号,控制整个系统工作。控制电路的功能有两个:一是按所需产生节拍脉冲;二是产生移位寄存器所需的各种驱动信号。

数字电路及设计实验

常用数字仪表的使用 实验内容: 1.参考“仪器操作指南”之“DS1000操作演示”,熟悉示数字波器的使用。 2.测试示波器校正信号如下参数:(请注意该信号测试时将耦合方式设置为直流耦合。 峰峰值(Vpp),最大值(Vmax),最小值(Vmin), 幅值(Vamp),周期(Prd),频率(Freq) 顶端值(Vtop),底端值(Vbase),过冲(Overshoot), 预冲(Preshoot),平均值(Average),均方根值(Vrms),即有效值 上升时间(RiseTime),下降时间(FallTime),正脉宽(+Width), 负脉宽(-Width),正占空比(+Duty),负占空比(-Duty)等参数。 3.TTL输出高电平>2.4V,输出低电平<0.4V。在室温下,一般输出高电平是3.5V,输出低 电平是0.2V。最小输入高电平和低电平:输入高电平>=2.0V,输入低电平<=0.8V。 请采用函数信号发生器输出一个TTL信号,要求满足如下条件: ①输出高电平为3.5V,低电平为0V的一个方波信号; ②信号频率1000Hz; 在示波器上观测该信号并记录波形数据。

集成逻辑门测试(含4个实验项目) (本实验内容选作) 一、实验目的 (1)深刻理解集成逻辑门主要参数的含义和功能。 (2)熟悉TTL 与非门和CMOS 或非门主要参数的测试方法,并通过功能测试判断器件好坏。 二、实验设备与器件 本实验设备与器件分别是: 实验设备:自制数字实验平台、双踪示波器、直流稳压电源、数字频率计、数字万用表及工具; 实验器件:74LS20两片,CC4001一片,500Ω左右电阻和10k Ω左右电阻各一只。 三、实验项目 1.TTL 与非门逻辑功能测试 按表1-1的要求测74LS20逻辑功能,将测试结果填入与非门功能测试表中(测试F=1、0时,V OH 与V OL 的值)。 2.TTL 与非门直流参数的测试 测试时取电源电压V CC =5V ;注意电流表档次,所选量程应大于器件电参数规范值。 (1)导通电源电流I CCL 。测试条件:输入端均悬空,输出端空载。测试电路按图1-1(a )连接。 (2)低电平输入电流I iL 。测试条件:被测输入端通过电流表接地,其余输入端悬空,输出空载。测试电路按图1-1(b )连接。 (3)高电平输入电流I iH 。测试条件:被测输入端通过电流表接电源(电压V CC ),其余输入端均接地,输出空载。测试电路按图1-1(c )连接。 (4)电压传输特性。测试电路按图1-2连接。按表1-2所列各输入电压值逐点进行测量,各输入电压值通过调节电位器W 取得。将测试结果在表1-2中记录,并根据实测数据,做出电压传输特性曲线。然后,从曲线上读出V OH ,V OL ,V on ,V off 和V T ,并计算V NH ,V NL 等参数。 表1-1 与非门功能测试表

数电实验_时序逻辑电路

实验报告 课程名称: 数字电子技术实验 指导老师: 成绩:__________________ 实验名称: 时序逻辑电路 实验类型: 设计型实验 同组学生姓名:__________ 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 一.实验目的和要求 1. 加深理解时序电路的工作原理。 2. 掌握同步时序逻辑电路的设计与调试方法。 3. 了解集成时序逻辑电路的应用。 4. 提高分析实验中出现的问题的能力,学习自启动电路的设计方法。 二.主要仪器设备 实验选用集成电路芯片:74LS00(与非门)、74LS11(与门)、74LS55(与或非门)、74LS74(双D 触发器)、74LS107(双J-K 触发器)、74LS161(二进制计数器) GOS-6051型示波器,导线,SDZ-2实验箱 三. 实验内容、实验原理(设计过程)、实验电路及实验结果 1.时序逻辑电路的设计方法 分析题意,选定所需状态数和触发器个数; 根据题意,画出状态转换图; 进行状态化简合并等价状态; 状态分配也称状态编码; 列出初态到次态的状态转换以及实现状态转换对个触发器输入端的要求; 求出各触发器激励端和电路输出的逻辑函数表达式; 根据表达式画出完整的电路图 检验电路能否自启动。 1.同步十进制加法计数器 (1)实验内容 用74LS107型J-K 触发器和74LS11三输入与非门设计一个8421BCD 码的同步十进制加法计数器并进行实验。 (2)设计过程

十进制加法计数器的需要十个状态来完成,其状态图为: 0000→0001→0010→0011→0100→0101→0110→0111→1000→1001→0000 根据真值表,画出卡诺图,

第六章-几种常用的组合逻辑电路试题及答案

第六章几种常用的组合逻辑电路 一、填空题 1、(8-1易)组合逻辑电路的特点是:电路在任一时刻输出信号稳态值由决定(a、该时刻电路输入信号;b、信号输入前电路原状态),与无关(a、该时刻电路输入信号;b、信号输入前电路原状态),属于(a、有;b、非)记忆逻辑电路。 2、(8-2易)在数字系统中,将具有某些信息的符号变换成若干位进制代码表示,并赋予每一组代码特定的含义,这个过程叫做,能实现这种 功能的电路称为编码器。一般编码器有n个输入端,m个输出端,若输入低电平有效,则在任意时刻,只有个输入端为0,个输入端为1。对于优先编码器,当输入有多个低电平时,则。 3、(8-3易,中)译码是的逆过程,它将转换成。译码器有多个输入和多个输出端,每输入一组二进制代码,只有个输出端有效。n 个输入端最多可有个输出端。 4、(8-2易)74LS148是一个典型的优先编码器,该电路有个输入端和个输出端,因此,又称为优先编码器。 5、(8-4中)使用共阴接法的LED数码管时,“共”端应接,a~g应接输出有效的显示译码器;使用共阳接法的LED数码管时,“共”端应接,a~g应接输出有效的显示译码器,这样才能显示0~9十个数字。 6、(8-4中)译码显示电路由显示译码器、和组成。 7.(8-4易)译码器分成___________和___________两大类。 8.(8-4中)常用数字显示器有_________,_________________,____________等。 9.(8-4中)荧光数码管工作电压_______,驱动电流______,体积_____,字形清晰美观,稳定可靠,但电源功率消耗______,且机械强度_____。 10.(8-4中)辉光数码管管内充满了_________,当它们被______时,管子就发出辉光。 11.(8-4易)半导体发光二极管数码管(LED)可分成_______,_______两种接法。 12.(8-4中)发光二极管正向工作电压一般为__________。为了防止二极管过电流而损坏,使用时在每个二极管支路中应______________。 13.(8-3中)单片机系统中,片内存储容量不足需要外接存储器芯片时,可用_________作高位地址码。 14.(8-3中)数字系统中要求有一个输入端,多个数据输出端,可用_________输入端作为

供配电设计性实验

实验三电磁型三相一次重合闸实验 一、实验目的 1.熟悉电磁型三相一次自动重合闸装置的组成及原理接线图。 2.观察重合闸装置在各种情况下的工作情况。 3.了解自动重合闸与继电保护之间如何配合工作。 二、基本原理 1.DCH-1重合闸继电器构成部件及作用 运行经验表明,在电力系统中,输电线路是发生故障最多的元件,并且它的故障大都属于暂时性的,这些故障当被继电保护迅速断电后,故障点绝缘可恢复,故障可自行消除。若重合闸将断路器重新合上电源,往往能很快恢复供电,因此自动重合闸在输电线路中得到极其广泛的应用。 在我国电力系统中,由电阻电容放电原理组成的重合闸继电器所构成的三相一次重合闸装置应用十分普遍。图4-1为DCH-1重合闸继电器的内部接线图。 图4-1 DCH-1型重合闸继电器内部接线图 1

继电器内各元件的作用如下: (1)时间元件KT 用来整定重合闸装置的动作时间。 (2)中间继电器KAM 装置的出口元件,用于发出接通断路器合闸回路的脉冲,继电器有两个线圈,电压线圈(用字母V表示)靠电容放电时起动,电流线圈(用字母I表示)与断路器合闸回路串联,起自保持作用,直到断路器合闸完毕,继电器才失磁复归。 (3)其他用于保证重合闸装置只动作一次的电容器C。 用于限制电容器C的充电速度,防止一次重合闸不成功时而发生多次重合的充电电阻器4R。 在不需要重合闸时(如手动断开断路器),电容器C可通过放电电阻6R放电。 用于保证时间元件KT的热稳定电阻5R。 用于监视中间元件KAM和控制开关的触点是否良好的信号灯HL。 用于限制信号灯HL上电压的电阻17R。 继电器内与KAM电压线圈串联的附加电阻3R(电位器),用于调整充电时间。 由于重合闸装置的使用类型不一样,故其动作原理亦各有不同。如单侧电源和两侧电源重合闸,在两侧电源重合闸中又可分同步检定、检查线路或母线电压的重合闸等。 2.重合闸的动作原理 现以图4-2为例说明重合闸的工作过程及原理,图中触点的位置相当于输电线路正常工作情况,断路器在合闸位置,辅助触点QF1断开,QF2闭合。DCH-1中的电容C经按钮触点SB1(EF)和电阻4R已充电,整个装置准备动作,装置动作原理分几个方面加以说明。 (1)断路器由保护动作或其他原因(触点1KAM闭合)而跳闸此时断路器辅助触点QF1返回,中间继电器9KAM起动(利用10R限制电流,以防止断路器合闸线圈KC(L)同时起动)其触点闭合后,起动重合闸装置的时间元件KT经过延时后触点KT1闭合,电容器C通过KT1对KAM(V)放电。KAM起动后接通了断路器合闸回路(由+→SB(EF)→②→KAM1→KAM(I)→①→KS→XB→11KAM2→KC(L)→QF1→-)KC(L)通电后,实现一次重合闸,与此同时,信号继电器KS 发出信号,由于KAM(I)的作用,使触点KAM1、KAM2能自保持到断路器完成合闸,其触点QF1断开为止。如果线路上发生的是暂时性故障,则合闸成功后,电 2

门电路逻辑功能及测试实验报告(有数据)

实验一门电路逻辑功能及测试 一、实验目的 1、熟悉门电路逻辑功能。 2、熟悉数字电路实验箱及示波器使用方法。 二、实验仪器及器件 1、示波器; 2、实验用元器件:74LS00 二输入端四与非门 2 片 74LS20 四输入端双与非门 1 片 74LS86 二输入端四异或门 1 片 74LS04 六反相器 1 片 三、实验内容及结果分析 实验前检查实验箱电源是否正常。然后选择实验用的集成电路,按自己设计的实验接线图接好连线,特别注意Vcc 及地线不能接错(Vcc=+5v,地线实验箱上备有)。实验中改动接线须先断开电源,接好后再 通电实验。 1、测试门电路逻辑功能 ⑴选用双四输入与非门74LS20 一只,插入面包板 (注意集成电路应摆正放平),按图1.1接线,输入端接 S1~S4(实验箱左下角的逻辑电平开关的输出插口),输 出端接实验箱上方的LED 电平指示二极管输入插口D1~ D8 中的任意一个。 ⑵将逻辑电平开关按表1.1 状态转换,测出输出逻 辑状态值及电压值填表。 表 1.1A 表1.1B 表1.1 将逻辑电平开关按表1.1A要求加入到IC的输入端,采用数字万用表直流电压档测得输入输出的电平值如表1.1B所示,转换为真值表如表1.1。 结论:根据实际测试的到的真值表,该电路完成了所设计的逻辑功能。 2、逻辑电路的逻辑关系 ⑴用 74LS00 双输入四与非门电路,按图1.2、图1.3 接线,将输入输出逻辑关系分别填入表1.2,表1.3 中。

⑵ 写出两个电路的逻辑表达式。 图1.2的逻辑电路表达式 =Y A A B B B A 图1.3的逻辑电路表达式 =Y A B A A B B Z A B A B 将逻辑电平开关按表1.2A 和表1.3A 的要求分别加入到IC 的输入端,采用数字万用表直流电压档测得输入输出的电平值如表1.2B 和表1.3B 所示,转换为真值表如表1.2和表1.3。 结论:根据实际测试的到的真值表,该电路完成了所设计的逻辑功能。 3、利用与非门控制输出 用一片74LS00 按图1.4 接线。S 分别接高、低电平开关,用示波器观察S 对输出脉冲的控制作用。 (1)高电平:

数电课程设计-温度计实验报告(提交版)

一、设计项目名称 温度采集显示系统硬件与软件设计 二、设计内容及要求 1,根据设计要求,完成对单路温度进行测量,并用数码管显示当前温度值系统硬件设计,并用电子CAD软件绘制出原理图,编辑、绘制出PCB印制版。 要求: (1)原理图中元件电气图形符号符合国家标准; (2)整体布局合理,注标规范、明确、美观,不产生歧义。 (3)列出完整的元件清单(标号、型号及大小、封装形式、数量) (4) 图纸幅面为A4。 (4)布局、布线规范合理,满足电磁兼容性要求。 (5)在元件面的丝印层上,给出标号、型号或大小。所有注释信息(包括标号、型号及说明性文字)要规范、明确,不产生歧义。 2.编写并调试驱动程序。 功能要求: (1)温度范围0-100℃。 (2)温度分辨率±1℃。 (3)选择合适的温度传感器。 3.撰写设计报告。 提示:可借助“单片机实验电路板”实现或验证软件、硬件系统的可靠性。 温度传感器 摘要:温度的检测与控制是工业生产过程中比较典型的应用之一,随着传感器在生产和生活中的更加广泛的应用,利用新型单总线式数字温度传感器 实现对温度的测试与控制得到更快的开发,随着时代的进步和发展,单 片机技术已经普及到我们生活,工作,科研,各个领域。一种数字式温 度计以数字温度传感器DS18B20作感温元件,它以单总线的连接方式, 使电路大大的简化。传统的温度检测大多以热敏电阻为传感器,这类传 感器可靠性差,测量温度准确率低且电路复杂。因此,本温度计摆脱了 传统的温度测量方法,利用单片机STC89C52对传感器进行控制。这样

易于智能化控制。 关键词:数字测温;温度传感器DS18B20;单片机STC89C52; 一.概述 传感器从功能上可分为雷达传感器、电阻式传感器、电阻应变式传感器、压阻式传感器、热电阻传感器、温度传感器、光敏传感器、湿度传感器、生物传感器、位移传感器、压力传感器、超声波测距离传感器等,本文所研究的是温度传感器。 温度传感器是最早开发,应用最广泛的一类传感器。温度传感器是利用物质各种物理性质随温度变化的规律把温度转换为电量的传感器。这些呈现规律性变化的物理性质主要有半导体。温度传感器是温度测量仪表的核心部分,品种繁多。 随着科学技术的发展,测温系统已经被广泛应用于社会生产、生活的各个领域,在工业、环境监测、医疗、家庭多方面均有应用。从而使得现代温度传感器的发展。微型化、集成化、数字化正成为发展的一个重要方向。 二.硬件设计 1.DS18B20 DS1820 单线数字温度计特性 ? 独特的单线接口仅需一个端口引脚进行通讯 ? 简单的多点分布应用 ? 无需外部器件 ? 可通过数据线供电 ? 零待机功耗 ? 测温范围-55~+125℃,以 0.5℃递增 ? 温度以 9 位数字量读出 ? 温度数字量转换时间 200ms (典型值) ? 用户可定义的非易失性温度报警设置 ? 报警搜索命令识别并标志超过程序限定温度(温度报警条件)的器件 ? 应用包括温度控制、工业系统、消费品、温度计或任何热感测系统 DS1820温度传感器外观图(a )和引脚图(b ) ①引脚1接地 ②引脚2数字信号输入/输出 ③引脚3接高电平5V 高电平

数电逻辑门电路实验报告doc

数电逻辑门电路实验报告 篇一:组合逻辑电路实验报告 课程名称:数字电子技术基础实验指导老师:樊伟敏 实验名称:组合逻辑电路实验实验类型:设计类同组学生姓名:__________ 一、实验目的和要求(必填)二、实验内容和原理(必填)三、主要仪器设备(必填)五、实验数据记录和处理七、讨论、心得 一.实验目的 1.加深理解全加器和奇偶位判断电路等典型组合逻辑电路的工作原理。 2.熟悉74LS00、74LS11、74LS55等基本门电路的功能及其引脚。 3.掌握组合集成电路元件的功能检查方法。 4.掌握组合逻辑电路的功能测试方法及组合逻辑电路的设计方法。 二、主要仪器设备 74LS00(与非门) 74LS55(与或非门) 74LS11(与门)导线电源数电综合实验箱 三、实验内容和原理及结果 四、操作方法和实验步骤 六、实验结果与分析(必填)

实验报告 (一) 一位全加器 1.1 实验原理:全加器实现一位二进制数的加法,输入有被加数、加数和来自相邻低位的进位;输出有全加和与向高位的进位。 1.2 实验内容:用 74LS00与非门和 74LS55 与或非门设计一个一位全加器电路,并进行功能测试。 1.3 设计过程:首先列出真值表,画卡诺图,然后写出全加器的逻辑函数,函数如下: Si = Ai ?Bi?Ci-1 ;Ci = Ai Bi +(Ai?Bi)C i-1 异或门可通过Ai ?Bi?AB?AB,即一个与非门; (74LS00),一个与或非门(74LS55)来实现。Ci = Ai Bi +(Ai?Bi)C 再取非,即一个非门( i-1 ?Ai Bi +(Ai?Bi)C i-1 ,通过一个与或非门Ai Bi +(Ai?Bi)C i-1 ,

数字电路 时序逻辑电路——计数器实验实验报告

肇 庆 学 院 电子信息与机电工程 学院 数字电路 课 实验报告 12电气(1) 班姓名 王园园 学号 2 实验日期2014年5 月26 日 实验合作者:李俊杰 老师评定 实验题目:时序逻辑电路——计数器实验 一、实验目的 (一)掌握由集成触发器构成计数器的方法。 (二)熟悉中规模集成计数器74LS161计数器的逻辑功能及使用方法。 (三)学习中规模集成计数器74LS192计数器的逻辑功能及使用方法。 (四)学习计数器清零端与置数端的功能、同步与异步的概念。 二、实验仪器: DZX-1型电子学综合实验装置 UT52万用表 芯片74LS00 74LS161 74LS192 三、实验内容 图5-1 74LS161构成N 进制计数器目标电路图 图5-2 74LS161引脚排列图 输入 输出 CR CP LD CT P CT T D 3D 2D 1D 0 n n n n Q Q Q Q 0123 C0 0 x x x x x 0 0 0 0 1 0 x x d 3d 2d 1d 0 d 3d 2d 1d 0 CO= CT T Q Q Q Q n n n 123 1 1 1 1 x 计数 CO=n n n n Q Q Q Q 0123 1 x 1 0 x x 保持 CO= CT T Q Q Q Q n n n 123 1 x 1 x x 保持 用十六进制同步加法计数器74LS161构成N 进制计数器的设计(异步清零,同步置数)

1.按图5-1接好。从CP端输入时钟脉冲。 2.将M端接高电平,并把计数结果记录下来。如下表5-2 3.将M端接低电平,并把计数结果记录下来。 4.如果将清零端与置数端接线交换,重复2、3步骤,计数器的N分别等于多少? 答:2,3步骤N都为16 接线交换后,LD=1输入无效。加法计数器计数溢出后CO=1 => CR=0触发异步清零,然后CO=0 => CR=1,计数器重新从零开始加法计数,所以N=15

数电设计型实验2

设计题4 交通信号灯控制器的设计 1.设计任务与要求 设计一个十字路口交通信号灯控制器。设南北(NS)方向的红、黄、绿灯分别为NSR、NSY、NSG,东西(EW)方向的红、黄、绿灯分别为EWR、EWY、EWG。具体要求如下: 1) 图1 2)东西方向亮红灯的时间等于南北方向亮黄、绿灯时间之和,南北 方向亮红灯时间也等于东西方向亮黄、绿灯时间之和。时序如下图所示。 T NSG NSY NSR EWR EWG

EWY 2.可选用元器件 74LS74、74LS191(或74LS168、74LS193等)、74LS00、74LS20、74LS04、74LS08、74LS164、CD4060,晶体振荡器32768Hz等。3.设计方案提示 交通信号灯控制器原理框图如图2所示。 1)单位时间产生电路:因黄、绿、红灯点亮时间分别为5T、1T和6T,若选T=8S,则本电路应每8秒产生一个脉冲,作为控制电路的输入信号。具体实现方法参考设计题1。 2)控制电路:根据工作时序图,计数器每次工作循环周期为12T,故可采用由74LS191组成的12进制计数器实现,其真值表如下。由真值表可列出各逻辑表达式。

设计题5 转速测量显示系统设计1.设计任务与要求 1)测量显示范围为0——9999 r/min; 2)测量单位时间为1min,且有数字显示; 3)测量显示为前1min转速测量结果。 2.可选用元器件 74LS112——双JK触发器(带RD、SD及负触发)。 74LS123——双可再触发单稳态触发器。 74LS160——可预置BCD计数器。 74LS175——四D触发器(公共时钏和复位)。 74LS48——8421BCD七段译码驱动器。 74LS90——十进制计数器。 七段字形LED数码管、电阻、电容等。 3.设计方案提示

实验一 逻辑门电路的基本参数及逻辑功能测试

实验一逻辑门电路的基本参数及逻辑功能测试 一、实验目的 1、了解TTL与非门各参数的意义。 2、掌握TTL与非门的主要参数的测试方法。 3、掌握基本逻辑门的功能及验证方法。 4、学习TTL基本门电路的实际应用。 5、了解CMOS基本门电路的功能。 6、掌握逻辑门多余输入端的处理方法。 二、实验仪器 三、实验原理 (一) 逻辑门电路的基本参数 用万用表鉴别门电路质量的方法:利用门的逻辑功能判断,根据有关资料掌握电路组件管脚排列,尤其是电源的两个脚。按资料规定的电源电压值接好(5V±10%)。在对TTL与 非门判断时,输入端全悬空,即全“1”,则输出端用万用表测 应为0.4V以下,即逻辑“0”。若将其中一输入端接地,输出 端应在3.6V左右(逻辑“1”),此门为合格门。按国家标准 的数据手册所示电参数进行测试:现以手册中74LS20二-4输 入与非门电参数规范为例,说明参数规范值和测试条件。 TTL与非门的主要参数 空载导通电源电流I CCL (或对应的空载导通功耗P ON )与非门处于不同的工作状态,电源 提供的电流是不同的。I CCL 是指输入端全部悬空(相当于输入全1),与非门处于导通状态,

输出端空载时,电源提供的电流。将空载导通电源电流I CCL 乘以电源电压就得到空载导通功 耗P ON ,即 P ON = I CCL ×V CC 。 测试条件:输入端悬空,输出空载,V CC =5V。 通常对典型与非门要求P ON <50mW,其典型值为三十几毫瓦。 2、空载截止电源电流I CCh (或对应的空载截止功耗P OFF ) I CCh 是指输入端接低电平,输出端开路时电源提供的电流。空载截止功耗POFF为空载截 止电源电流I CCH与电源电压之积,即 P OFF = I CCh ×V CC 。注意该片的另外一个门的输入也要 接地。 测试条件: V CC =5V,V in =0,空载。 对典型与非门要求P OFF <25mW。 通常人们希望器件的功耗越小越好,速度越快越好,但往往速度高的门电路功耗也较大。 3、输出高电平V OH 输出高电平是指与非门有一个以上输入端接地或接低电平的输出电平。空载时,输出 高电平必须大于标准高电压(V SH =2.4V);接有拉电流负载时,输出高电平将下降。 4、输出低电平V OL 输出低电平是指与非门所有输入端接高电平时的输出电平。空载时,输出低电平必须低于标准低电压(VSL=0.4V);接有灌电流负载时,输出低电平将上升。 5、低电平输入电流I IS (I IL ) I IS 是指输入端接地输出端空载时,由被测输入端流出的电流值,又称低电平输入短路 电流,它是与非门的一个重要参数,因为入端电流就是前级门电路的负载电流,其大小直接 影响前级电路带动的负载个数,因此,希望I IS 小些。 测试条件: VCC=5V,被测某个输入端通过电流表接地,其余各输入端悬空,输出空载。

数电设计性实验报告之四人抢答器

数电设计性实验报告 ——四人抢答器 姓名______________________ 学号______________________ 班级______________________ 指导老师____________________________ 实验时间______________________ 实验题目__________四人抢答器_____________

实验目的: 1、掌握电路板焊接技术; 2、学习调试系统电路,提高实验技能; 3、了解竞赛抢答器的工作原理及其结构。 实验所用原件清单: 74LS175芯片, 1个 74LS20 芯片,2个 74LS00 芯片,2个 74LS192芯片,1个 显示译码器,1个 150Ω电阻,1个 原理(包括主要公式、电路图): 图1所示为四人参加智力竞赛的抢答部分参考电路,电路中的主要器件是74LS175型四上升沿D触发器(如图2所示),它的清零端和时钟脉冲CP是四个D触发器共用的。 抢答前先清零,Q 1~Q 4 均为0,相应的发光二极管LED都不亮; 1 Q~ 4 Q均为1, 与非门G 1输出为0。同时,G 2 输出为1,将G 3 开通,时钟脉冲CP可以经过G 3 进 入D触发器的CP端。此时,由于S 1~S 4 均未按下,D 1 ~D 4 均为0,所以触发器的状 态不变。抢答开始,若S 1首先按下,D 1 和Q 1 均变为1,相应的发光二极管亮; 1 Q 变为0,G 1的输出为1,G 2 输出为0,将G 3 关断,时钟脉冲CP便不能经过G 3 进入 D触发器,由于没有时钟脉冲,因此再接着按其他按钮,就不起作用了,触发器的状态不会改变。 抢答判决完毕,清零,准备下次抢答用。

数字电路实验报告基本逻辑门实验

姓名:xxxxxxxxxxxxxxx学号:xxxxxxxxxx . 学院:计算机与电子信息学院专业:计算机类. 班级:xxxxxxxxxxxxxxxxxx时间:2019年10月18 日. 指导教师:xxxxxxxx .实验名称:基本逻辑门实验 一、实验目的 1、掌握芯片的使用方法; 2、学会使用万用表检测电路; 3、熟悉数字电路实验箱及仿真软件的使用方法。 二、实验原理 门电路是一种开关电路,它每组门电路具有一个或多个输入端,只有一个输出端,当一个或多个输入端有信号时其输出端才有信号,门电路在满足一定条件时,按照一定规律输出信号,起着开关作用。基本门电路采用与门、或门和非门三种,也可以将其组合构成其它的门电路,如与非门、或非门等。 与非门的逻辑功能:当所有的输入端均为高电平时,输出为低电平;一个或一个以上的输入端为低电平时,输出为高电平。对于74LS00的两个输入端口的与非门有4种输入情况(二进制00-11),实际上只要对输入的00,01,10,11,四种进行测试就可以判断其逻辑功能是否正常。 在测试时,为了方便起见,也可以将输入低电平端接地,输入高电平端悬空,但在复杂的数字电路系统中,当输入信号少于与非门的输入端信号的个数时,为了避免干扰,对于TTL电路而言,通常将多余的输入端接入高电平或与该门有信号的输入端并联使用。 三、实验设备及器件 1、数字逻辑试验箱一个; 2、万用表一个; 3、元器件:74LS00、74LS20芯片各一个。 四、实验内容

1、实验内容1:测试74LS00的输入与输出之前的逻辑关系,记录表1-1输出电压及逻辑状态。 2、实验内容2:测试74SL20的输入和输出之间的逻辑关系,记录表2-1输出电压及逻辑状态。 3、实验内容3:电压传输特性测试,电路按图3-1连接,按表3-1所列输入电压值,逐点的进行测量,各输入电压通过调节电位器W获得,将测试结果在表3-1中记录,并根据实测数据做出电压传输特性曲线。 五、实验过程 1、实验内容1:测试74LS00的输入与输出之前的逻辑关系,记录表1-1输出电压及逻辑状态 (1)实验设计思路:利用芯片74LS00测试与非门输入与输出之间的关系,其中通过控制两个芯片管脚的0-1状态确定输入电平,通过观察小灯泡是否发光判断输出的逻辑状态,最后通过万用表直接测出输出电压的值并记录。 (2)元器件管脚图及功能说明: 图1-1 芯片74LS00是2输入四与非门

第六章_几种常用的组合逻辑电路试题及答案

1.(8-5中)设一位二进制半加器的被加数为A,加数为B,本位之和为S, 向高位进位为C,试根据真值表 1).写出逻辑表达式 2).画出其逻辑图。 真值表: 2.(8-5难)设一位二进制全加器的被加数为A i,加数为B i,本位之和为 S i,向高位进位为C i,来自低位的进位为C i-1,根据真值表 1).写出逻辑表达式 2).画出其逻辑图。 真值表:

3.(8-1难)分析图示逻辑电路: 1).列真值表 2).写出逻辑表达式 3).说明其逻辑功能。 =++,根据给出的4.(8-3难*)用一个74LS138译码器实现逻辑函数Y ABC ABC ABC 部分逻辑图完成逻辑图的连接。

6.(8-1难)试用2输入与非门和反向器设计一个3输入(I0、I1、I2)、3输出(L0、L1、L2)的信号排队电路。它的功能是:当输入I0为1时,无论I1和I2为1还是0,输出L0为1,L1和L2为0;当I0为0且I1为1,无论I2为1还是0,输出L1为1,其余两个输出为0;当I2为1且I0和I1均为0时,输出L2为1,其余两个输出为0。如I0、I1、I2均为0,则L0、L1、L2也均为0。 1).列真值表 2).写出逻辑表达式 3).将表达式化成与非式 4).根据与非式画出逻辑图 7.(8-1难)某个车间有红、黄两个故障指示灯,用来表示3台设备的工作情况。如一台设备出现故障,则黄灯亮;如两台设备出现故障,则红灯亮;如三态设备同时出现故障,则红灯和黄灯都亮。试用与非门和异或门设计一个能实现此要求的逻辑电路。 1).列真值表

2).写出逻辑表达式 3).根据表达式特点将其化成与非式,或者是异或式 4).根据化成的表达式画出逻辑图 9.(8-3难)请用3-8线译码器译码器和少量门器件实现逻辑函数 ()()∑=7630,,,,,m A B C F 。

数电设计实验报告

数电设计实验报告 姓名: 班级: 专业: 学号:

目录 1 设计任务与要求-------------------------------------------------------------------------------1 1.1 基本功能--------------------------------------------------------------------------------------1 1.2 扩展功能--------------------------------------------------------------------------------------1 2 设计原理----------------------------------------------------------------------------------------1 3设计分析-----------------------------------------------------------------------------------------2 3.1 抢答电路--------------------------------------------------------------------------------------2 3.2 定时电路-------------------------------------------------------------------------------------- 3 3.3 报警电路------------------------------------------------------------------------- 4 3.4 时序控制电路------------------------------------------------------------------- 5 4设计结果---------------------------------------------------------------------------------------- 6 5制作结果----------------------------------------------------------------------------------------- 7 6学习心得----------------------------------------------------------------------------------------- 8 7参考文献-----------------------------------------------------------------------------------------9

数电实验一门电路功能分析

实验1 双踪示波器的使用及门电路功能测试 一、实验目的 (1)熟悉双踪示波器面板图,掌握面板上旋钮、按键的作用和调节方法。 (2)学会测量脉冲信号源的幅值、周期、上升沿时间、下降沿时间、脉冲相位差。 (3)学会门电路功能测试的方法。 (4)了解仿真软件中的双踪示波器的使用方法。 二、预习要求 (1)结合实验内容和思考题,阅读本指导书附录,观看光盘内容,了解实验环境,熟悉双踪示波器、信号源、万用表的工作原理、性能指标、面板图及面板上旋钮和按键的作用和调节方法。 (2)了解注意事项,学习实验规则及安全用电常识。 (3)学习实验报告要求和规范,学会撰写实验报告。 三、实验原理 1. 示波器工作原理 示波器的电路框图和工作原理图如图1.1和1.2所示。 图1.1 示波器电路框图 图1.2 示波器工作原理图 2. 本实验逻辑门原理 (1)四2输入与非门(IC型号74LS00,引脚图见附录四): F AB (2)四2输入与门(IC型号74LS08,引脚图见附录四):

F AB = (3)四2输入异或门(IC 型号74LS86,引脚图见附录四): F A B =⊕ (4)双 4输入与非门(IC 型号74LS20,引脚图见附录四): F ABCD = 四、实验内容与步骤 1. 基本要求 (1)熟悉电子实验室现有实验设备的各种功能,并能灵活应用这些功能。 (2)用示波器测试本机的“CAL ”信号,结果填入表 1.1 中。 表 1.1 CAL 信号测量结果 用函数信号发生器输出频率为 1 MHz 、峰-峰值为 5.0 V 的方波信号,用示波器测量表 1.2 中的列出的各相关参数,并绘出波形图,填于表 1.2 中。 表 1.2 方波信号测量结果 (4)测试门电路功能。 测试实验原理中列出的几个通用逻辑门的逻辑功能(也可测试老师指定的通用逻辑门),并填入表 1.3 或自己设计的类似表格中。 表 1.3 单元逻辑门状态和电压测试表

数电实验报告:实验1-门电路的测试

广东海洋大学学生实验报告书(学生用表) 实验名称 课程名称 课程号 学院(系) 专业 班级 学生姓名 学号 实验地点 实验日期 实验1:集成逻辑门电路的测试 一、实验目的: 1. 学会检测常用集成门电路的好坏的简易方法; 2. 掌握TTL 与非门逻辑功能和主要参数的测试方法; 二、实验仪器与器件: 3. 元器件:74LS20、74LS00(TTL 门电路)电阻、电位器若干; 4. 稳压电源、万用表、数字逻辑箱。 三、实验原理: 5.集成逻辑门电路的管脚排列: (1)74LS20(4输入端双与非门):ABCD Y = V CC 2A 2B N C 2C 2D 2Y 1A 1B N C 1C 1D 1Y GND V CC :表示电源正极、GND :表示电源负极、N C :表示空脚。 ( 2) 74LS00(2输入端4与非门):AB Y = V CC 4A 4B 4Y 3A 3B 3Y 1A 1B 1Y 2A 2B 2Y GND GDOU-B-11-112

(3)4011(2输入端4与非门):AB Y V CC4A 4B 4Y 3Y 3B 3A 1A 1B 1Y 2Y 2B 2A GND 集成门电路管脚的识别方法:将集成门电路的文字标注正对着自己,左下角为1,然后逆时针方向数管脚。 A)T TL与非门的主要参数有: 导通电源电流I CCL、低电平输入电流I IL、高电平输入电流I IH、输出高电平V OH、输出低电平V OL。 注意:不同型号的集成门电路其测试条件及规范值是不同的。 B)检测集成门电路的好坏的简易方法: 1)在未加电源时,利用万用表的电阻档检查各管脚之间是否有短路现象; 2)加电源:利用万用表的电压档首先检查集成电路上是否有电,然后再利用门电路的逻辑功能检查电路。 例如:“与非”门逻辑功能是:“有低出高,全高出低”。 对于TTL与非门:若将全部输入端悬空测得输出电压为0.1V左右,将任一输入端接地测得输出电压为3V左右,则说明该门是好的。 四、实验内容和步骤: (1)测试芯片的与非功能; (2)TTL与非门的主要参数测试: 1导通电源电流I CCL= 。 测试条件:V CC=5V,输入端悬空,输出空载,如图(1)。 2导通电源电流I CCH= 。 测试条件:V CC=5V,输入端两个悬空,两个接地。输出空载,如图(2)。

相关主题
文本预览
相关文档 最新文档