当前位置:文档之家› 基于CPCI总线的通用雷达回波信号模拟器

基于CPCI总线的通用雷达回波信号模拟器

基于CPCI总线的通用雷达回波信号模拟器
基于CPCI总线的通用雷达回波信号模拟器

第5卷 第6期信息与电子工程Vo1.5,No.6 2007年12月INFORMATION AND ELECTRONIC ENGINEERING Dec.,2007

文章编号:1672-2892(2007)06-0418-06

基于CPCI总线的通用雷达回波信号模拟器

张 辉,刘 峥

(西安电子科技大学雷达信号处理国家重点实验室,陕西西安 710071)

摘要:为了在实验室环境下对雷达信号处理系统进行调试和工作效能测试,设计了一种基于紧凑型外部设备互联总线(CPCI)和现场可编程门阵列技术的通用雷达回波信号模拟器,利用

MATLAB的强大仿真功能,模拟产生各种体制雷达的回波信号数据,通过CPCI总线把它们写入该

信号模拟器的同步动态随机存储器中,雷达信号处理模块再从该模拟器中反复读出数据进行处理,

从而调试和检测雷达信号处理模块在各种杂波及无源干扰条件下对目标的处理。结果证明,该模

拟器具有良好的通用性和精确度,并且运行可靠。

关键词:紧凑型外部设备互联总线;雷达回波信号模拟器;现场可编程门阵列;同步动态随机存储器;WDM驱动程序

中图分类号:TN957.51 文献标识码:A

A Universal Radar Echo Simulator Based on CPCI Bus

ZHANG Hui,LIU Zheng

(National Key Lab. of Radar Signal Processing,Xidian University,Xi’an Shaanxi 710071,China)

Abstract:A universal radar echo simulator based on compact peripheral component interconnect (CPCI) bus and FPGA technology is introduced. The simulator is used to debug and test the performance of the

system of radar signal processing in laboratory. In the design,the strong simulation function of MATLAB

is performed to simulate all kinds of radar echo,and then these radar echo data are translated to the

SDRAM in the signal simulator. From this time on, the radar signal processor fetches the signal data from

the simulator time after time to process them,thus to debug and test the performance of target detection

under all kinds of radar clutter and passive jamming for the radar signal processor. The results show that

the simulator has good universality and accuracy,and can be operated reliably.

Key words:CPCI;universal radar echo signal simulator;FPGA;SDRAM controller;WDM driver

1 引言

随着标准化和通用化产品设计理念的发展,近年来基于标准CPCI总线的通用雷达信号处理系统越来越受到人们的推崇,然而要对其作战效能进行调试和测试,就必须具备逼真的配试目标,需要有与各种实际战场环境接近的工作环境,并且外场试验的组织协调难度很大,需要消耗大量的财力和物力,因此需要在实验室环境下采用基于标准CPCI总线的雷达回波信号模拟器。为了增强模拟器的灵活性和普遍性,利用MATLAB的强大仿真功能,通过参数的改变,模拟各种可能出现的情况,例如设定不同的气象条件,改变目标的个数或参数,调整噪声功率等。结合FPGA技术,选用SDRAM作为MATLAB仿真的雷达回波信号存储体,设计并实现了一种基于CPCI总线的雷达回波信号模拟器。

2 模拟器的设计思想

为了满足不同雷达回波信号的模拟要求,该模拟器采用CPCI总线+FPGA+SDRAM结构,同时结合MATLAB 仿真技术,以CPCI总线的工控机为基础,将MATLAB模拟产生的回波数据经CPCI总线传输至SDRAM中。然

收稿日期:2007-06-06;修回日期:2007-07-06

第6期 张 辉等:基于CPCI总线的通用雷达回波信号模拟器419

后,雷达信号处理模块从该模拟器中反复读出数据进行处理,从而调试和检测雷达信号处理模块在各种杂波及无源干扰条件下对目标的处理性能。该模拟器把MATLAB仿真好的回波信号先进行存储,然后不断地循环输出。在要求数据的传输速率和存储空间大小的同时,要求该模拟器必须能够连续不断地提供回波数据,不能出现间断,工作要稳定可靠。该模拟器的主要任务就是逼真地形成目标回波、杂波和噪声信号。设噪声模型为高斯白噪声,可用M序列配以查表法得到;杂波模型视为规定了幅度分布和功率谱形状的随机过程,常用的有瑞利分布和对数正态分布等,频谱为高斯谱或者立方谱等[1]。比如,海杂波处于瑞利分布和对数正态分布之间;在低分辨率的雷达体制下,地杂波的幅度服从瑞利分布,但在高分辨率的雷达体制下则服从对数正态分布。其频谱分布除了在风速很大时高频端有所伸展外,绝大多数情况为高斯谱。气象杂波、箔条杂波的分布特性与海杂波、地杂波的不同,前者服从体杂波的分布特性,后者服从面杂波的分布特性。气象杂波的分布特性处于瑞利和对数正态分布之间。箔条杂波的幅度为指数分布。得到上述噪声和杂波信号后,用MATLAB完成杂波信号噪声的合成,最后再把MATLAB模拟的各种体制雷达的目标回波信号与之合成,并适当控制信噪比,得到各种特定环境下的雷达回波信号。

3 硬件实现

CPCI又称紧凑型PCI,是国际PICMG协会于1994年提出的一种总线接口标准,是以PCI电气规范PICMG2.0为标准的高性能工业用总线,在机械标准上做了改进,使用了与VME(Versa Module Eurocard)总线相同的EuroCard印制板和与VME总线不同的公制连接插座(IEC917 and IEC1076-4-101 standards)。在机械结构的性能等方面,CPCI 同VME总线表现相同[2]。该雷达回波信号模拟器的硬件结构见图1。

Fig.1 Configuration of the radar echo simulator

图1 信号模拟器的结构图

由于CPCI总线采用无源底板结构,为了减小周边卡上CPCI总线的信号线对底板总线的影响,用一个10×(1±5%)Ω的电阻在CPCI卡的接插件J1口处进行串联电阻匹配,需要加串联匹配电阻的信号包括:ENUM#,AD0-AD31, CB/E0#-CB/E3#,PAR,SERR#,PERR#,IRDY#,FRAME#,IDSEL,RST#,HEALTHY#,LOCK#,STOP#,DB_SEL#,DEVSE

L#,TRDY#,INTA#。从接插件J1的插针到该串联匹配电阻的PCB连线长度应小于15.2 mm(0.6 inch)[3]。另外,为了实现板卡的热插拔功能,使CPCI总线信号连接和断开的瞬间冲击很小,在插拔板卡时需要使某些CPCI总线信号用10 kΩ的上拉电阻接到预充电电压(约1.0 V左右),该电压是从VCC=3.3 V电源取电,经过电压转换芯片LT1117得到,见图2。其中需要进行预充电的CPCI总线信号,包括:AD0-AD31,PAR,SERR#,CB/E0#-CB/E3#,PERR#,LOCK#, DEVSEL#,FRAME#,STOP#,RST#,IRDY#,TRDY#,这些信号从接插件J1到CPCI接口器件管脚,总的信号线长度应小于38.1 mm。

Fig.2 CPCI interface circuit

图2 CPCI接口电路

FPGA选用ALTERA公司Cyclone系列的EP1C12Q240C8,利用其内部的逻辑单元实现对局部总线的状态控制和复杂SDRAM控制器的设计,同时利用其内部的RAM块实现数据的缓冲输入/输出。SDRAM选用现代公司的HY57V561620C(L)T(P)-H(4 banks×4 M×16 Bit)[2],把两个16位SDRAM拼接成32位用于数据的存储。本地时钟由40 MHz的OSC晶体振荡器和时钟分路芯片CDCVF2310组成,用来提供9054的LCLK以及FPGA和SDRAM的时钟信号。

420 信息与电子工程第5卷

采用PCI9054做本地总线与PCI总线的接口芯片[4],使用该专用芯片桥接PCI总线和本地总线,可以省去考虑很多PCI总线的规范,而集中精力去开发硬件和驱动程序。PCI9054有3种局部总线工作模式:主控、目标和直接存储器访问(Direct Memory Access,DMA)模式。本设计中采用PCI目标传输方式和DMA传输方式。所谓目标传输方式是指PCI总线控制着局部总线读出或写入数据,即PCI9054作为PCI总线的目标,同时又是局部总线的主控者。PCI9054集成了2个互相独立的DMA通道,其中通道0支持请求(Demand)DMA传输方式。DMA通道由DMA控制器和专用的双向先入先出(FIFO)组成,由DMA控制器发起对局部总线和PCI总线的操作。PCI9054有M,C,G三种工作模式,这里选用C模式[5]。通过引导EEPROM来初始化PCI9054的配置寄存器,使之按照上述需求正常工作。当PCI9054上电复位时,会读EEPROM确定其是否存在,若读回的第一个字全是0,表明EEPROM不存在;当第一个字全是1,表明EEPROM存在但是空的;当第一个字不全是0或1,表明EEPROM已被编程,PCI9054就从其中进行引导,对寄存器进行配置。

另外,由于FPGA器件EP1C12Q240C8的内核供电采用1.5V电源,而CPCI标准只提供+12 V,+5 V,+3.3 V电源,所以这里采用TI公司的电压转换芯片TPS767D301将+3.3 V电源转换为+1.5 V,为FPGA的内核供电。

4 基于FPGA的SDRAM控制器的设计

4.1 SDRAM控制器的设计思路

在各种DRAM器件中,SDRAM[5]容量大,读写速度快,支持突发式读写,目前存取速度可以达到100~133 MHz,可以满足模拟实时信号的需要。但是SDRAM采用“书架”式的数据存储方式,并且是行列地址分时复用地址总线,加之还要定时刷新,使它的控制时序非常复杂。虽然市场上也有一些通用的SDRAM控制器,但是设置复杂,无法针对特定的系统,很大程度上抵消了使用SDRAM的优势,因此提出用FPGA技术,结合VHDL语言设计和实现SDRAM控制器。从图2可以看出,该SDRAM控制器接口分两端:一端是与SDRAM的接口,用来对SDRAM进行控制,包括初始化、刷新、写和读SDRAM,控制器要能够响应PCI9054的命令,并将其转换成对SDRAM操作的各种命令组合;另一端是与PCI9054的接口,用来实现PCI9054对本地总线操作的响应。

4.2 SDRAM控制器的结构设计

整个SDRAM控制器由6个模块组成:PCI9054接口控制模块、自动刷新控制模块、工作状态控制模块、初始化控制模块、数据通道模块、状态翻译及指令产生模块,见图3。

Fig.3 Configuration of the SDRAM controller

图3 SDRAM控制器的结构框图

4.2.1PCI9054接口控制模块

该模块主要完成对PCI9054本地端信号的控制,帮助SDRAM和计算机协调有序的通信,使SDRAM运行稳定可靠。

4.2.2自动刷新控制模块

为保证SDRAM上数据不丢失,必须定时刷新。刷新计数器决定刷新的时间间隔,保证每个单元都能按一定的周期刷新。SDRAM提供2种刷新模式:自动刷新和自刷新。自动刷新在正常操作中进行,但不持久,需要定时进行刷新,这样就会占用系统资源,降低系统性能。自刷新模式无需系统时钟就能保证数据不丢失。两种模式相比,自刷新功耗较小,而自动刷新实现较为简单,这里选用自动刷新模式。由于使用40 MHz本地时钟,并且SDRAM

第6期 张 辉等:基于CPCI 总线的通用雷达回波信号模拟器 421

芯片2HY57V561620C(L)T(P)-H 的最大刷新时间为64 ms [2],可得刷新计数器的最大计数值C max =64×40=2 560。这里计数值取640,也就是2次自动刷新的时间间隔为16 ms ,为此在SDRAM 控制器中设置一个定时器,每计数到640便请求状态翻译及指令产生模块发出刷新命令。 4.2.3初始化控制模块

SDRAM 芯片内部有一个逻辑控制单元,由模式寄存器为其提供控制参数,因此只有对模式寄存器进行初始化赋值后SDRAM 才可以正常工作。由于系统上电100~200 μs 后时钟才能稳定,为防止误操作,SDRAM 必须在系统时钟稳定后才可以进行初始化操作,这里用一个十六进制计数器来实现初始化前的延迟。由于采用40 MHz 的

本地时钟,可以很容易确定延迟120 μs 时,该计数器的设定计数值N 200=120×40=4 800。

当计数器计数到设定值8 000时计数值保持不变,同时开始对所有bank 进行预充电,再是两个自动刷新操作,然后完成对SDRAM 内部模式寄存器的设置,最后为其它模块发出初始化完成信号,用来通知其它模块SDRAM 进入正常工作状态,此过程用状态机来实现。SDRAM 模式寄存器取值为00_000_010_0_111,由低位到高位依次表明突发传输长度为整页,采用顺序传输方式,列地址闸门(Column Address Strobe ,CAS)潜伏期为2,操作模式为突发读/突发写。 4.2.4工作状态控制模块

该模块把SDRAM 整个工作过程分为:空操作、初始化、激活、读、写和自动刷新状态,各状态转换见图4。 4.2.5状态翻译及指令产生模块 SDRAM 是通过/CS,/RAS,/CAS,/WE 和A10/AP 信号组合完成一系列操作,见表1[6]。该模块受工作状态控制模块的控制,对SDRAM 发出相应的操作指令。为减少I/O 引脚数量,SDRAM 采用复用地址线A0-A12[6]。当有读/写要求时,先进入激活状态发出激活命令,同时锁存行地址A0-A12及选定将要操作的bank ,分别对应PCI9054局部地址总线的LA11-LA23和

LA24-LA25;然后进入读/写状态,发出读/写命令,同时锁存列地址A0-A8,其对应PCI9054局部地址总线的LA2-LA10,并且把剩余的高4位地址A9-A12取为1,即A10/AP 为1,这样读/写指令都是带预充电的。

4.2.6数据通道模块

数据通道模块分为通道0和通道1,分别用来缓冲写入和读出SDRAM 的数据,实现CPCI 总线和局部总线间的异步传输。用信号tr_en 来控制数据的传输方向。当tr_en 为1时,使通道0进行数据写入;当tr_en 为0时,使通道1进行数据读出。

5 用Driver Studio 开发模拟器WDM 驱动程序

Nutmeg Lab 公司开发的Driver Studio 是一套集开发、调试和检测Windows 平台下设备驱动程序的工具软件包。

它将驱动程序开发包(Driver Development Kit ,DDK)封装成完整的C++函数库,根据硬件通过向导生成驱动程序框架代码,并且提供了一套完整的调试和性能测试工具,包括SoftIce 和Driver Monitor 等。这里使用Driver Studio 3.1的Driver Wizard 来生成该信号模拟器的驱动程序框架,同时创建相应的Win32Console 应用程序,应用程序一旦获得设备的有效句柄,就能够与WDM 驱动程序进行通信。这里的主要任务是为驱动选择合适的例程,当操作系统发来一个中断请求包(Interrupt Request Package ,IRP)时,驱动就调用相应的例程来对IRP 进行服务以完成所需的功能[6]

若使用普通读写方式,写速度只能达20 MB/s ,读速度还要降低1~2倍。为提高传输速率,采用DMA 进行读写。PCI9054自带DMA 控制器,支持基于分散/集中的总线主控DMA ,同时提供了2个独立的DMA 通道用于从主机到设备和从设备到主机的数据传输,每个通道由一个DMA 控制器和专门的FIFO 组成,所开发的DMA 传输采用BlockDMA 方式。

驱动过程完成的工作:

a) 添加所需的资源,如中断和DMA 适配器等。

b) 在OnStartDevice 例程中,初始化硬件中断并连接到相应的中断服务程序,建立延迟过程调用,并允许PCI

Fig.4 SDRAM work state transform diagram

图4 SDRAM 工作状态转移图表1 SDRAM 指令

Table1 SDRAM instruction table

command /CS /RAS /CAS /WE A10/AP

NOP 0 1 1 1 × refresh 0 0 0 1 × precharge 0 0 1 0 × read with auto precharge 0 1 0 1 1 write with auto precharge 0 1 0 0 1 mode_register reset 0 0 0 0 × terminate read/write 0 1 1 0 × bank/row active

1

1

×

422

信 息 与 电 子 工 程

第5卷

相应通道中断,根据需要用KCommonDmaBuffer 类开辟物理连续公共缓冲区。

c) 在读写例程中,设置PCI9054中有关DMA 和中断的寄存器,启动DMA 。

d) 传输完毕将自行产生中断,在中断服务程序中判断是否为本设备产生的中断,是则清除中断,启动延迟调用。

e) 如果是DMA 读,则把数据从公共缓冲区拷贝到用户缓冲区。

6 模拟器性能测试

CPCI 总线是32位/33 MHz ,SDRAM 时钟频率为133 MHz ,FPGA 数据传输速度要求高于前两者,则数据传输瓶颈就是CPCI 总线,也就是说该模拟器理论上最大的数据传输速度是132 MB/s ,这足以满足实际工作中对实时性的要求;同时所用的存储体是2个并接的SDRAM ,每个是32 MB ,2个就是64 MB ,由于反复读取写入其中的数据,故64 MB 的空间足以满足存储几个周期回波数据的要求。

利用该模拟器对某多频连续波体制的高精度靶场测量雷达进行性能测试,由于是连续波体制,所以其发射和目标回波信号均是连续的正弦信号。假设雷达发射机发射的信号为:

S (t )=exp2πf 0t (1)

式中:f 0是发射机发射频率。

假设雷达在多个目标存在的环境中工作,接收机对回波信号混频至中频信号为:

[]

∑=+?=

M i l f t t f t f k

i

",2,12)(2exp )(0'0

ππ (2)

c t a t v R t i i i i )21(20'+

+=

(3)

c

d l k

k

k θsin = (4) 式中:'i t 是第i 个目标回波产生的延迟时间;l k 是由于目标与雷达天线轴线之间存在角偏差,从而在目标回波相位中产生的延迟相位;R i 0是第i 个目标在一段短时间内的初始距离;v i 是第i 个目标的径向速度;a i 是第i 个目标的加速度;d k 是接收机两个天线面的间距,包括了横向和纵向的间距;k θ是目标与雷达之间的角偏差值,即所要测量的角误差数据,包括方位角和俯仰角;c 代表光速。该公式表示的是所要模拟的该多频连续波雷达的完整目标回波信号数学模型。接收机对回波信号混频至中频信号为:

r (t )=f (t )exp(?2πf 0t ) (5)

??

?

???+++=

k i i i l f t a v R c f t r 0202)21(4exp )(ππ (6)

式(6)表示完整的雷达回波信号数学模型。在多目标的情况下该目标回波信号见图5,可以看出,对于多个目标的雷达目标回波信号,它的波形已经不再是正弦信号形式,但信

号仍是周期信号,它的周期是多个目标信号周期的公倍数。

这里取其中的某几个周期与文章开始所介绍的杂波噪声信

号合成模拟的雷达回波信号,然后写入模拟器中,反复读出以测试该多频连续波靶场测量雷达的性能。结果显示,

系统运行稳定可靠,数据输出没有间断现象发生,能够达

到预想的测试目的,最大数据传输速率为96 MB/s ,完全能

够满足雷达信号处理模块对回波信号实时性的要求。另外,

利用同样的思想对某脉冲压缩雷达[7]也进行了类似的性能测试,可以随意设置回波的参数,对该雷达能够做一个比较全面的性能测试。

7 结论

以CPCI 总线的工控机为平台,结合6U 的CPCI 卡研制的雷达回波信号模拟器,将高科技含量的现代测试技术

与计算机技术融为一体,强化了测试功能,保证了系统的高可靠性,提高了测试通用性和精确度。

0.80.60.4

0.20–0.2

–0.4

–0.6–0.8

0 200 400 600

t/μs

a m p l i t u d e

Fig.5 Echo signal of multiple targets 图5 多目标回波信号

第6期 张 辉等:基于CPCI总线的通用雷达回波信号模拟器423参考文献:

[ 1 ] 李淑萍. 雷达信号模拟器杂波的设计与实现[J]. 舰船电子对抗, 2005,32(3):14-19.

[ 2 ] HY57V561620H(L)T 4 Banks×4M×16Bit Synchronous DRAM[Z]. 1999.

[ 3 ] Tom Shanley Don Anderson. PCI系统结构[M]. 4版. 刘 晖,冀然然,夏意军,译. 北京:电子工业出版社, 2000. [ 4 ] PLXTechnology Inc. PCI9054 DataBook[Z]. 1998.

[ 5 ] SUMSUNG Electronics Rev. DEVICE OPERATIONS-CMOS SDRAM[Z]. 1999.

[ 6 ] 武安河,邰 铭,于洪涛. Windows 2000/ X PWDM设备驱动程序开发[M]. 北京:电子工业出版社, 2003.

[ 7 ] 郑新春,冯小平. 脉冲压缩雷达地杂波模拟与系统实现[J]. 雷达与对抗,2005,(1):21-25.

作者简介:

张辉(1982-),男,陕西省商洛市人,2005年毕业于西安电子科技大学机电一体化专业,现为在读硕士研究生,主要研究方向为高速实时信号处理. E-mail:zhanghui243@https://www.doczj.com/doc/a94204581.html,.

刘峥(1964-),男,西安市人,博士,教授,博士生导师,主要研究方向为高速实时信号处理.

(上接第417页)

[ 5 ] Alan Podell. A High Directivity Microstrip Coupler Technique[J]. IEEE Symposium Digest G-MTT International, 1970,70

(1):33-36.

[ 6 ] Michael Dydyk. Microstrip directional Couplers with Ideal Performance via Single-Element Compensation[J]. IEEE Trans.

on MTT, 1999,47(6):956-964.

[ 7 ] Klein J L,Chang K. Optimum dielectric overlay thickness for equal even- and odd-mode phase velocities in coupled mic- rostrip circuits[J]. Electronic Letters, 1990,26(5):274-276.

[ 8 ] Chun Y H, Moon J Y,Yun S W,et al. Microstrip line directional couplers with high directivity[J]. Electronics Letters, 2004, 40(5):317-318.

[ 9 ] Chun Young Hoon,Moon Ju Young,Yun Sang Won,et al. A Novel Microstrip Line Backward Directional Coupler with High Directivity[J]. IEEE MTT-S Digest, 2004,3:1891-1894.

[10] Hong Jia Sheng,Lancaster M J.Microstrip Filters for RF/Microwave Applications[M]. New York:John Wiley &Sons.Inc.,

2001.

[11] Reinhold Ludwig, Pavel Bretchko. RF Circuit Design Theory and Applications[M]. 北京:科学出版社, 2002.

作者简介:

张文赋(1983-),男,福州市人,在读硕士研究生,主要研究方向为微波电路设计. E-mail:dickdick@https://www.doczj.com/doc/a94204581.html,.

刘长军(1973-),男,河北省邢台市人,博士,教授,主要研究方向为电磁场与微波技术.

吴金国(1979-),男,湖北省荆州市人,在读硕士研究生,主要研究方向为微波电路设计.

赵丽蓉(1983-),女,四川省绵阳市人,在读硕士研究生,主要研究方向为微波电路设计.

雷达信号模拟器方案设计报告

1总体技术方案 1.1总体设计概述 雷达信号环境模拟器能够产生各种类型的雷达辐射信号,为XX电子侦察设备的鉴定试验,产生所要求的各种类型的雷达辐射信号,构建既定的复杂雷达信号的电磁环境,以便准确评估雷达侦察设备的技术战术指标和效能。 雷达信号环境模拟器在系统中的地位和作用如下图所示: 图4.1-1 设备在系统中的地位和作用 测评系统主要由被试的雷达侦察设备、雷达信号环境模拟器(5个频段构成)、评测系统软件等设备组成。 1.2总体设计方案 雷达信号环境模拟器的总体组成框图如下图所示:

辐射源数据库用于存储各种雷达和平台的参数(包括真实雷达和虚拟雷达),通过主控计算机进行读取,辐射源数据可以进行添加、修改和删除等操作。 主控计算机是人机交互的平台,主要完成试验场景描述、试验过程的管理和试验工作状态和参数记录等。试验场景描述首先进行需要模拟的雷达的数量、位置的设定,然后从雷达辐射源库中选取雷达参数,对每部雷达的类型、天线扫描方式、扫描周期、扫描速度、雷达信号的射频频率、脉冲宽度、脉冲重复周期PRI 变化类型等进行配置。主控计算机根据设置的每部雷达的参数,将需要模拟的雷达动态分配给1~5个雷达信号模拟器中的一个,再利用通信接口将相应的雷达参数发送到对应频段的雷达信号模拟器。 各频段的雷达信号模拟器内置的控制DSP ,根据主控计算机传送的雷达信号数量和雷达信号参数数据,按照每部雷达各自的脉冲时序,生成对应的时序控制信号,分配给每个雷达中频信号产生器,产生所需要的雷达中频信号波形数据和中频信号。控制DSP 根据雷达工作频段,控制信号各波段射频模块进行变频和放大,通过天线辐射出去。 各频段的雷达信号模拟器配置有位置和授时接口,用于接收载车提供的GPS/北斗位置和授时信息。在试验过程中记录各频段雷达信号模拟器的当前位置信息,并且以授时时间作为时间基准,按照场景设定的时间要求模拟产生雷达

激光雷达回波信号仿真模拟

激光雷达回波信号仿真模拟研究 摘要 关键字 第一章绪论 第一节引言 激光雷达(Lidar:Li ght D etection A nd R anging),是一种用激光器作为辐射源的雷达,是激光技术与雷达技术完美结合的产物。激光雷达的最基本的工作原理与我们常见的普通雷达基本一致,即由发射系统发射一个信号,信号到达作用目标后会产生一个回波信号,我们将回波信号经过收集处理后,就可以获得所需要的信息。与普通雷达不同的是,激光雷达的发射信号是激光而普通雷达发射的信号是无线电波,两者在波长上相比,激光信号要短的多。由于激光的高频单色光的特性,激光雷达具有了许多普通雷达无法比拟的特点,比如分辨率高,测量、追踪精度高,抗电子干扰能力强,能够获得目标的多种图像,等等。因此,利用激光雷达对大气进行监测,收集、分析数据,建立一个大气环境预测理论模型,这将会成为研究气候变化和寻求解决对策的一项重要武器。 第二节本文的选题意义 由于投入巨大,在研制激光雷达实物之前,我们需要进行模拟与仿真研究,预测即将研制的激光雷达的各性能指标,评价总体方案的可行性。激光雷达回拨信号仿真模拟就是利用现代仿真技术,逼真的复现雷达回波信号的动态过程,它是现代计算机技术、数字模拟技术和激光雷达技术相结合的产物。仿真模拟的对象是激光雷达的探测没标以及它所处的环境,模拟的手段是利用计算机和相关设备以及相关程序,模拟的方式是复现包含着激光雷达目标和目标环境信息的雷达信号。通过激光雷达回波信号的仿真模拟,进而产生回波信号,我们可以在实际雷达系统前端不具备条件的情况下,对激光雷达系统的后级设备进行调试。 第三节本文的研究思路和结构安排 本文主要研究面向气象服务应用的大气激光雷达。笔者在熟悉激光雷达的基本工作原理的前提下,学习和熟悉各种参数对大气回波能量的影响,进而学习和掌握matlab编程语言,并且根据给定的激光雷达系统参数、大气参数和光学参数,以激光雷达方程为基础,通过仿真模拟得到理想状态下的大气回波信号。但是,在实际测量工作中,由于大气中的各种干扰,我们获得的回波信号并不和理想状态下的大气回波信号一致,因此,在本文的后期工作中,笔者根据已有的大量激光雷达实测信号与模拟信号对比,既能验证仿真模拟结果的准确性,又能应用于激光雷达的性能指标等方面的分析上,具有比较高的实际应用价值。 第二章激光雷达的原理 第一节激光雷达系统 一个标准的激光雷达系统应该包含以下部件:激光器、发射系统、接收系统、光学系统、信号处理系统以及显示系统。它的工作原理图我们可以用下图表示:

雷达模拟器的未来发展趋势

雷达模拟器未来发展趋势 班级:***************班 学号:***** 作者:薛飞 摘要:本文通过雷达的发展简史、计算机模拟技术发展历史及趋势、电子游戏画面引擎技术和雷达模拟器的相关图形学原理作为参考依据,通过类比的方法和引用未来电子画面渲染技术的发展方向来分析和推测雷达模拟器的未来几年的发展趋势。 关键词:雷达电子计算机模拟技术模拟软件游戏引擎 0 引言 雷达:是英文Radar的音译,源于radio detection and ranging的缩写,原意为"无线电探测和测距",即用无线电的方法发现目标并测定它们的空间位置…… 计算机模拟:是利用计算机进行模拟的方法。利用计算机软件开发出的模拟器,可以进行故障树分析、测试VLSI逻辑设计等复杂的模拟任务…… 1 雷达的发展历史及现状 雷达,是英文Radar的音译,源于radio detection and ranging的缩写,原意为"无线电探测和测距",即用无线电的方法发现目标并测定它们的空间位置。因此,雷达也被称为“无线电定位”。利用电磁波探测目标的电子设备。发射电磁波对目标进行照射并接收其回波,由此获得目标至电磁波发射点的距离、距离变化率(径向速度)、方位、高度等信息。 雷达的出现,是由于二战期间当时英国和德国交战时,英国急需一种能探测空中金属物体的雷达(技术)能在反空袭战中帮助搜寻德国飞机。二战期间,雷达就已经出现了地对空、空对地(搜索)轰炸、空对空(截击)火控、敌我识别功能的雷达技术。 二战以后,雷达发展了单脉冲角度跟踪、脉冲多普勒信号处理、合成孔径和脉冲压缩的高分辨率、结合敌我识别的组合系统、结合计算机的自动火控系统、地形回避和地形跟随、无源或有源的相位阵列、频率捷变、多目标探测与跟踪等新的雷达体制。 后来随着微电子等各个领域科学进步,雷达技术的不断发展,其内涵和研究内容都在不断地拓展。雷达的探测手段已经由从前的只有雷达一种探测器发展到了红外光、紫外光、激光以及其他光学探测手段融合协作。还有一种精神感应雷达,该雷达能够对人类在脑电波起反应,对人体的生命迹象进行感知。 当代雷达的同时多功能的能力使得战场指挥员在各种不同的搜索/跟踪模式下对目标 进行扫描,并对干扰误差进行自动修正,而且大多数的控制功能是在系统内部完成的。 自动目标识别则可使武器系统最大限度地发挥作用,空中预警机和JSTARS这样的具有战场敌我识别能力的综合雷达系统实际上已经成为了未来战场上的信息指挥中心。 雷达的优点是白天黑夜均能探测远距离的目标,且不受雾、云和雨的阻挡,具有全天候、全天时的特点,并有一定的穿透能力。因此,它不仅成为军事上必不可少的电子装备,而且广泛应用于社会经济发展(如气象预报、资源探测、环境监测等)和科学研究(天体研究、大气物理、电离层结构研究等)。星载和机载合成孔径雷达已经成为当今遥感中十分重要的传感器。以地面为目标的雷达可以探测地面的精确形状。其空间分辨力可达几米到几十米,且与距离无关。雷达在洪水监测、海冰监测、土壤湿度调查、森林资源清查、地质调查等方面也显示出了很好的应用潜力。

一种新型雷达信号模拟器设计

一种新型雷达信号模拟器设计 刘亲社1,王国红2,王星1 (1 空军工程大学工程学院,陕西西安 710038;2 空军工程大学理学院,陕西西安 710038)摘 要:设计了一种新型雷达信号模拟器,能够提供多种特殊雷达信号,并且设置灵活方便,当用户需要时,可进行软件升级。介绍了该雷达信号模拟器的功能、特点、性能指标和研制方案,提供一种雷达信号产生的解决方法。 关 键 词:新体制雷达;信号模拟器;脉冲产生器;射频信号 中图分类号:TN955文献标识码:A文章编号:1000-274X(2006)0189-07 随着新体制雷达相继问世,现代雷达大都采用了以捷变频和相干信号处理等为代表的新技术,反干扰措施越来越完善,对这些体制的雷达实施干扰越来越困难。信号环境日益复杂,电子对抗技术的发展和新电子对抗设备的研制迫切需要一种能提供多种特殊雷达信号的设备,以适应这种发展变化。我们设计研制的新体制雷达信号模拟器就是一种半实物物理仿真设备,一部分设备使用实际设备而其他部分采用计算机模拟和处理,例如雷达信号环境和信号处理等均可使用软件模拟。这种方法具有很强的通用性,不仅适用于现有的装备,也可以模拟采用某种新技术的装备,对于现有装备的改进和新装备的研制都具有实用价值,是一种相对经济、实用的方法[1,2]。 1 新型雷达信号模拟器的功能特点和性能指标 新型雷达信号模拟器的主要功能是:提供各类信号的调制波形,控制射频频率,控制输出信号的功率。根据用户指定的信号类型、脉宽、重复周期、射频频率等参数,控制模拟器的各个相关部分,最后输出满足要求的信号。 1.1 主要特点 1.1.1多样性和灵活性 多样性是指模拟器控制系统能够提供多种类型的雷达信号调制波形。为了产生多种特殊雷达信号,要求控制系统能灵活控制雷达信号的脉冲宽度、重复周期、射频频率。能够提供的信号类型主要有:连续波、常规脉冲信号、均匀脉组串信号、重频参差信号、线性调频信号、巴克码调相信号、捷变频信号等。 灵活性主要表现在两个方面:①各种信号的参数可以灵活设置。例如:信号的脉冲宽度、重复周期、射频频率等都可以在其各自的范围内任意设置。②信号类型可以灵活选择。模拟器同时有几路的信号输出,各路之间是相互独立的,而且一个支路有多种信号类型供选择。由于信号个数、信号类型、信号参数均能灵活选择,给用户提供了极大方便。用户可以根据自己的需要,选择合适的信号个数和类型,来组合输出各种信号。 1.1.2 智能化 控制系统具有智能化的特点,采用工控机作为控制中心,由计算机完成对模拟器的各项控制,设计了良好的人机界面,采用软面板输入参数具有自动检错功能,以避免用户误操作引起的错误。用

SAR雷达目标信号模拟器案例

SAR雷达目标信号模拟器案例 来源:北京华力创通科技股份有限公司作者:发表时间:2010-04-08 16:08:50 目前机载 SAR 雷达设备的主要测试手段是在地面采用点目标信号进行部分指标和分辨率测试。进 一步完整的成像测试需要安装在运载飞机上进行实际飞行测试,得到最后的指标。 星载 SAR 雷达设备的主要测试手段同样是在地面点目标信号进行部分指标和分辨率测试。通过 这种测试来估计实际的成像指标。 XXX 型 SAR 雷达目标信号模拟器可以实时模拟回放多点目标和场景目标回波。用于机载或星载 SAR 雷达设备在地面进行完整的功能和性能指标调试和测试。 XXX 型 SAR 雷达目标回波信号模拟器基本原理是一种数字储频体制的测试信号模拟设备。接收 来自雷达系统 TR 组件送出的脉冲发射信号,并在此基础上生成触发脉冲和回波信号;实时模拟点目 标回波信号:--能进行时间延迟、能叠加多普勒频移,能进行幅度调制;非实时模拟面目标回波信 号--可叠加地表信息、轨道特性、平台姿态特性和幅相误差、波位特性、天线性能等工程误差 XXX 型 SAR 雷达目标回波信号模拟器主要由三个功能单元组成: 射频单元 将来自雷达系统脉冲发射信号转换到中频,并将中频单元的模拟回波信号混频至射频,通过射频 电缆注入或通过天线回放给被测雷达; 数字中频单元 基于数字储频体制获取中频信号,经过数字变换成多点目标回波中频信号回放给射频单元。或根 据被测雷达的信号特征,将已经存储的大型场景目标回波回放出去 数学仿真单元 运行 SAR 雷达场景目标模拟生成算法,生成场景(即面目标)回波数据,注入给数字中频单元 技术优势 幅相控制技术 高速 AD/DA 技术( 20M - 1.5G 采样率) 实时点目标运算,非实时面目标模拟 高速板间数据传输技术(单通道最高速率可达 6Gbps ) 大容量板级数据存储技术( 20G ) 应用方案 雷达系统回波模拟 精密延迟信号实现 用于宽带雷达模拟器 实时记录 SAR 发射信号 实时回放数字信号、模拟各种条件

基于CPCI总线的通用雷达回波信号模拟器

第5卷 第6期信息与电子工程Vo1.5,No.6 2007年12月INFORMATION AND ELECTRONIC ENGINEERING Dec.,2007 文章编号:1672-2892(2007)06-0418-06 基于CPCI总线的通用雷达回波信号模拟器 张 辉,刘 峥 (西安电子科技大学雷达信号处理国家重点实验室,陕西西安 710071) 摘要:为了在实验室环境下对雷达信号处理系统进行调试和工作效能测试,设计了一种基于紧凑型外部设备互联总线(CPCI)和现场可编程门阵列技术的通用雷达回波信号模拟器,利用 MATLAB的强大仿真功能,模拟产生各种体制雷达的回波信号数据,通过CPCI总线把它们写入该 信号模拟器的同步动态随机存储器中,雷达信号处理模块再从该模拟器中反复读出数据进行处理, 从而调试和检测雷达信号处理模块在各种杂波及无源干扰条件下对目标的处理。结果证明,该模 拟器具有良好的通用性和精确度,并且运行可靠。 关键词:紧凑型外部设备互联总线;雷达回波信号模拟器;现场可编程门阵列;同步动态随机存储器;WDM驱动程序 中图分类号:TN957.51 文献标识码:A A Universal Radar Echo Simulator Based on CPCI Bus ZHANG Hui,LIU Zheng (National Key Lab. of Radar Signal Processing,Xidian University,Xi’an Shaanxi 710071,China) Abstract:A universal radar echo simulator based on compact peripheral component interconnect (CPCI) bus and FPGA technology is introduced. The simulator is used to debug and test the performance of the system of radar signal processing in laboratory. In the design,the strong simulation function of MATLAB is performed to simulate all kinds of radar echo,and then these radar echo data are translated to the SDRAM in the signal simulator. From this time on, the radar signal processor fetches the signal data from the simulator time after time to process them,thus to debug and test the performance of target detection under all kinds of radar clutter and passive jamming for the radar signal processor. The results show that the simulator has good universality and accuracy,and can be operated reliably. Key words:CPCI;universal radar echo signal simulator;FPGA;SDRAM controller;WDM driver 1 引言 随着标准化和通用化产品设计理念的发展,近年来基于标准CPCI总线的通用雷达信号处理系统越来越受到人们的推崇,然而要对其作战效能进行调试和测试,就必须具备逼真的配试目标,需要有与各种实际战场环境接近的工作环境,并且外场试验的组织协调难度很大,需要消耗大量的财力和物力,因此需要在实验室环境下采用基于标准CPCI总线的雷达回波信号模拟器。为了增强模拟器的灵活性和普遍性,利用MATLAB的强大仿真功能,通过参数的改变,模拟各种可能出现的情况,例如设定不同的气象条件,改变目标的个数或参数,调整噪声功率等。结合FPGA技术,选用SDRAM作为MATLAB仿真的雷达回波信号存储体,设计并实现了一种基于CPCI总线的雷达回波信号模拟器。 2 模拟器的设计思想 为了满足不同雷达回波信号的模拟要求,该模拟器采用CPCI总线+FPGA+SDRAM结构,同时结合MATLAB 仿真技术,以CPCI总线的工控机为基础,将MATLAB模拟产生的回波数据经CPCI总线传输至SDRAM中。然 收稿日期:2007-06-06;修回日期:2007-07-06

Matlab雷达回波数据模拟

clear, hold off format compact J = sqrt(-1); close all% Get root file name for saving resultsfile=input('Enter root file name for data and listing files: ','s'); % form radar chirp pulseT = 10e-6; % pulse length, seconds W = 10e6; % chirp bandwidth, Hz fs = 12e6; % chirp sampling rate, Hz; oversample by a littlefprintf('\nPulse length = %g microseconds\n',T/1e-6) fprintf('Chirp bandwidth = %g Mhz\n',W/1e6) fprintf('Sampling rate = %g Msamples/sec\n',fs/1e6) s = git_chirp(T,W,fs/W); % 120-by-1 array plot((1e6/fs)*(0:length(s)-1),[real(s) imag(s)]) title('Real and Imaginary Parts of Chirp Pulse') xlabel('time (usec)') ylabel('amplitude') gridNp = 20; % 20 pulses jkl = 0:(Np-1); % pulse index array, 慢时间采样的序列,注意第一个PRI标记为0是为了慢时间起始时刻从零开始 PRF = 10.0e3; % PRF in Hz PRI = (1/PRF); % PRI in sec T_0 = PRI*jkl; % relative start times of pulses, in sec g = ones(1,Np); % gains of pulses T_out = [12 40]*1e-6; % start and end times of range window in sec,这个就是接收窗的时间宽度Trec T_ref = 0; % system reference time in usec,T_ref = 0指T_0=0时,r_at_T_0 = ri ;当T_0 ~= 0时,r_at_T_0 = ri - vi*T_0(j)fc = 10e9; % RF frequency in Hz; 10 GHz is X-bandfprintf('\nWe are simulating %g pulses at an RF of %g GHz',Np,fc/1e9) fprintf('\nand a PRF of %g kHz, giving a PRI of %g usec.',PRF/1e3,PRI/1e-6) fprintf('\nThe range window limits are %g to %g usec.\n', ... T_out(1)/1e-6,T_out(2)/1e-6)% Compute unambiguous Doppler interval in m/sec % Compute unambiguous range interval in metersvua = 3e8*PRF/(2*fc); %第一盲速rmin = 3e8*T_out(1)/2; rmax = 3e8*T_out(2)/2; rua = 3e8/2/PRF;fprintf('\nThe unambiguous velocity interval is %g m/s.',vua) fprintf('\nThe range window starts at %g km.',rmin/1e3) fprintf('\nThe range window ends at %g km.',rmax/1e3) fprintf('\nThe unambiguous range interval is %g km.\n\n',rua/1e3)% Define number of targets, then range, SNR, and % radial velocity of each. The SNR will be the actual SNR of the target in % the final data; it will not be altered by relative range.Ntargets = 4; del_R = (3e8/2)*( 1/fs )/1e3; % in km

天气雷达回波模拟系统的设计与实现

天气雷达回波模拟系统的设计与实现 摘要:天气雷达回波模拟系统能够成功模拟出具有真实天气目标特征的回波信号,利用这种回波信号可以实现在实验室环境中完成本需在外场试验条件下才可以进行的雷达性能测试。首先介绍了天气雷达回波模拟的原理,设计出回波模拟流程图,其次给出了回波模拟硬件平台的设计及模拟软件实现的思路,最后根据真实天气回波的特征等信息生成模拟回波图,并对模拟前后的回波图进行对比分析。 关键词:天气雷达;回波;信号模拟 0 引言 在现代地基主动气象遥感领域,多普勒天气雷达占据着重要的地位,特别是在对一些突发性、灾害性等中小尺度天气过程的捕获与跟踪时,其较高的时间与空间分辨能力就显得越来越重要[1]。随着多普勒天气雷达在气象探测业务运行中的广泛使用,在雷达的研发与维护过程中,对雷达的性能进行完整测试就显得非常重要[2]。由于实验条件及天气状况等因素的影响,要在完全真实的天气过程的环境中对雷达各个模块及系统进行测试将非常困难。国内现阶段对雷达接收机性能测试所采用的方法一般是给其提供一个不具有天气目标回波特征的单一频率的信号,这些信号能够通过测量系统通道的技术参数来验证系统硬件的性能。但由于这些测试信号不具有天气信号的时频特征,故测试结果仍与处理真实天气回波时的状态存在差异。而将实时天气目标回波作为接收机的测试信号的方法却有成本过高、测试过程复杂、所需时间长等缺点。除此之外,由于实时气象目标的参数是不可控的,所以这种方法不能实现对接收机性能参数的定量测试。如果能够模拟产生具有真实天气目标特征的雷达回波信号,就可以在实验室环境中模拟完成外场试验所需的测试,同时也可以降低测试成本,缩短研发周期,提高工作效率[3]。除此之外,对模拟回波的参数进行控制,可以实现定量测试,进而可对接收机及后端的信号处理算法进行验证。 1 天气回波信号模拟的原理 由于天气目标的径向移动会造成接收信号的频率相对于发射信号的频率存在一定的频移(多普勒频移),即天气雷达回波信号可以看成原始发射信号在时间上的延迟并且频谱进行搬移后的一个时间序列,这就是回波信号模拟的基本原理[4]。 ZRNIC D S[5]在总结了滤波器法与快速卷积法等模拟算法后,从天气雷达回波信号的功率谱的角度,提出了简单实用的基于谱模型的直接拟合法。气象回波的功率谱密度函数为Pn(f): 其中,pr为回波的功率,fd为多普勒频率,f为频率标准差,PRF为脉冲重复频率,N 为样本个数。 由气象雷达方程及相关理论可知:pr=CZ/r2,fd=2vr。其中,C为雷达常数,只与雷达系统的参数有关;Z为反射率因子;r为气象目标与雷达站的径向距离;vr、v分别为径向速度和速度谱宽;为雷达发射电磁波波长。 为了模拟出具有真实回波信号的频谱特性,需要在式(1)中加入噪声,然后进行随机化可得式(4): 式中,随机变量rnd在区间[0,1]上具有均匀分布,PN(f)为每秒钟噪声总功率,则PN(f)/PRF为噪声功率谱密度。 为了获取回波信号的复频谱特征,需要在Pn(f)中引入0~2π变化的随机相位谱?渍n(f)=rnd·2π/rndmax,即可以构成回波信号的复频谱,然后将其进行离散傅里叶逆变换(IDFT)得到对应的时间序列sn:

相关主题
文本预览
相关文档 最新文档