当前位置:文档之家› 雷达信号处理基本流程要点

雷达信号处理基本流程要点

雷达信号处理基本流程要点
雷达信号处理基本流程要点

基本雷达信号处理流程

一、脉冲压缩

窄带(或某些中等带宽)的匹配滤波:

相关处理,用FFT 数字化执行,即快速卷积处理,可以在基带实现(脉冲压缩) 快速卷积,频域的匹配滤波

脉宽越小,带宽越宽,距离分辨率越高 ;

脉宽越大,带宽越窄,雷达能量越小,探测距离越近; D=BT (时宽带宽积); 脉压流程:

频域:回波谱和参考函数共轭相乘 时域:相关

即输入信号的FFT 乘上参考信号FFT 的共轭再逆FFT ; Sc=ifft(fft(Sb).*conj(fft(S)));

FFT 输入信号

共轭相乘逆FFT

参考信号的FFT

匹配滤波器

输出

Task1

f0=10e9;%载频tp=10e-6;%脉冲宽度B=10e6;%信号带宽fs=100e6;%采样率 R0=3000;%目标初始距离N=4096;c=3e8;tau=2*R0/c;beita=B/tp;t=(0:N-1)/fs;

Sb=rectpuls(t-tp/2-tau,tp).*exp(j*pi*beita*(t-tp/2-tau).^2).*exp(-2j*pi*f0*tau);%回波信号

1000

2000

3000

4000

5000

6000

7000

-1-0.8-0.6-0.4-0.200.20.40.60.81

1000

2000

3000

4000

5000

6000

7000

-1-0.8-0.6-0.4-0.200.20.40.60.81

012345678910

x 10

7

20

40

60

80

100

120

S=rectpuls(t-tp/2,tp).*exp(i*pi*beita*(t-tp/2).^2);%发射信号(参考信号)

0.5

1

1.5

2

2.5

3

3.5

4

4.5x 10

-5

-1-0.8-0.6-0.4-0.200.20.40.60.81

0.5

1

1.5

2

2.5

3

3.5

4

4.5x 10

-5

-1-0.8-0.6-0.4-0.200.20.40.60.8

1012345678910x 10

7

20

40

60

80

100

120

So=ifft(fft(Sb).*conj(fft(S)));%脉压 figure(7);

plot(t*c/2,db(abs(So)/max(So)))%归一化dB grid on

01000200030004000500060007000

-400

-350-300-250-200-150-100-500

二、去斜处理(宽带的匹配滤波)

去斜处理“有源相关”,通常用来处理极大带宽的LFM波形(如果直接采样的话因为频带很宽所以在高频的时候需要的采样率就很大,采样点数就很多,所以要经过去斜处理)

Stretch方法是针对线性调频信号而提出的,其方法是将输入信号与参考信号(经适当延迟的本振信号,延迟量通常由窄带信号测距结果估计出)混频,则每一个散射点就对应一个混频后的单频分量,对混频输出的信号进行DFT处理,即可获得目标的距离像,对参考信号的要求是应具有与输入信号相同的调频斜率。

去斜处理流程:

输入信号频谱分析输出信号

参考信号

混频过程为回波信号在时域与参考信号的共轭相乘

混频后得到一个瞬时频率和目标距离成正比的单频信号,对其进行频谱分析即可得到目标的距离像;

去斜处理一般情况下可降低信号带宽;

%%%%%%%%%%%%%%%%%%%%%%%% 去斜处理仿真程序 %%%%%%%%%%%%%%%%%%%%%%%%% clc;clear all;close all;

B=10e6;%带宽10MHz

tp=10e-6;%脉宽10us

k=B/tp;%LFM系数

fs=50e6;

R0=3e3;R1=2000;R2=3500;R=5000;

c=3e8;

f0=60e6;

N=round(2*R/c*fs);

fft_N=2^nextpow2(N);

t=linspace(0,2*R/c,N);

%%%%%%%%%%%%%%%%%%%%%%%%%% 参考信号 %%%%%%%%%%%%%%%%%%%%%%%%%%%%%% Sref=exp(2i*pi*f0*t).*exp(1i*pi*k*t.^2);

0.5

1

1.52

2.5

3

3.5x 10

-5

-10

1

仿真时间/t 幅度

参考信号实部

0.5

1

1.52

2.5

3

3.5x 10

-5

-10

1

仿真时间/t 幅度

参考信号虚部

-2.5

-2

-1.5

-1

-0.5

00.51

1.5

2

2.5x 10

7

050

100

频率f/Hz

幅度

参考信号频谱

%%%%%%%%%%%%%%%%%%%%%%%%%%% 回波信号 %%%%%%%%%%%%%%%%%%%%%%%%%%%% Sb0=exp(1j*pi*k*(t-2*R0/c).^2).*exp(2j*pi*f0*(t-2*R0/c)); Sb1=exp(1j*pi*k*(t-2*R1/c).^2).*exp(2j*pi*f0*(t-2*R1/c)); Sb2=exp(1j*pi*k*(t-2*R2/c).^2).*exp(2j*pi*f0*(t-2*R2/c)); Sb=Sb0+Sb1+Sb2;

0.5

1

1.52

2.5

3

3.5x 10

-5

-101

仿真时间t/s 幅度

回波信号1实部

0.5

1

1.52

2.5

3

3.5x 10

-5

-101

仿真时间t/s 幅度

回波信号2实部

0.5

1

1.52

2.5

3

3.5x 10

-5

-101

仿真时间t/s

幅度

回波信号3实部

00.51

1.52

2.53

3.5

x 10

-5

-3

-2

-1

1

2

3

时间 t/s

幅度

三个目标组成的回波信号的实部

%%%%%%%%%%%%%%%%%%%%%%%%%%% 混频信号 %%%%%%%%%%%%%%%%%%%%%%%%%%%% SSb=Sref.*conj(Sb);%去斜后时域信号 spectrum=fft(SSb,fft_N);%去斜后频域信号 f=fs*(0:fft_N-1)/fft_N-fs/2;%从-fs/2到fs/2 f=f*c*tp/2/B;%瞬时频率对应的距离 sf=exp(-j*pi/k*f.^2);%滤波器传输函数

SSb=spectrum.*sf;%从频域去距离扭曲,实现了压缩和去RVP figure;

SSb=fftshift(SSb);

SSb1=ifft(SSb);%消除了距离扭曲和RVP 的时域信号 subplot(211);

plot(f,db(abs(SSb)/max(SSb))) xlabel('距离/m'); grid on subplot(212); plot(f,abs(SSb)) xlabel('距离/m'); grid on

-4000

-3000-2000-1000

01000200030004000

-80-60-40-200距离

/m

-4000

-3000-2000-1000

01000200030004000

0500100015002000距离/m

三、加窗

信号的截取产生了能量泄漏,而用FFT 算法计算频谱又产生了栅栏效应,在FFT 分析中为了减少或消除频谱能量泄漏及栅栏效应可采用不同的截取函数对信号进行截短,截短函数称为窗函数,简称窗。

%%%%%%%%%%%%%%%%%%%%%%%% 窄带加窗处理 %%%%%%%%%%%%%%%%%%%%%%%%

clc;clear all ;close all ;

f0=10e9;%载频 B=10e6;%信号带宽 tp=10e-6;%脉冲宽度 fs=100e6;%采样频率 k=B/tp;%LFM 系数,线性调频率 R0=3000;%初始距离c=3e8;%光速 R=6000; tau=2*R0/c; N=round(2*R/c*fs); fft_N=2^nextpow2(N); t=(0:fft_N-1)/fs;

s=rectpuls(t-tp/2,tp).*exp(j*pi*k*(t-tp/2).^2); %% 发射信号 spectrum_s=fft(s,fft_N);%参考信号频谱 spectrum_s=fftshift(spectrum_s);

sb=rectpuls(t-tp/2-tau,tp).*exp(j*pi*k*(t-tp/2-tau).^2).*exp(-2j*pi*f 0*tau); %% 回波信号 %% 时域加窗

sm=hamming(round(tp*fs))'.*s(1:round(tp*fs));%参考信号加窗 %% 频域加窗

%找频谱的-4dB 压缩点,窗函数严格与该压缩点之间的频谱对应

hamming1=[zeros(1855,1)',hamming(387)',zeros(1854,1)']; spectrum_sm=hamming1.*spectrum_s; %% 脉压

fft_ssb=ifft(fft(sb).*conj(fft(s)));

fft_smsb=ifft(fft(sb).*conj(fft(sm,length(sb)))); fft_spsb=ifft(fft(sb).*conj(fftshift(spectrum_sm)));

01234

5

678910

x 10

7

-90

-80-70-60-50-40-30

-20-100频率 f/Hz

幅度 /d B

归一化发射信号频谱

01002003004005006007008009001000

50

100

150时域加窗后的参考信号频谱图

幅度

01234

5

678910

x 10

7

50

100

150频率 f/Hz

幅度

时域加窗前的参考信号频谱

01234

5

678910

x 10

7

50100

150频率 f/Hz

幅度

频域加窗后的参考信号频谱图

01234

5

678910

x 10

7

50100

150频率 f/Hz

幅度

频域加窗前的参考信号频谱图

10002000

30004000

500060007000

-400-350-300-250-200-150

-100-500距离 /m

幅度 /d b

窄带加窗与否对比图

未加窗加窗

10002000

30004000

500060007000

-400-350-300-250-200-150

-100-500距离 /m

幅度 /d b

窄带频域加窗与否对比图

未加窗加窗

%%%%%%%%%%%%%%%%%%%%%%%%%%% 去斜加窗处理 %%%%%%%%%%%%%%%%%%%%%%%%%%%% B=10e6;%带宽10MHz tp=10e-6;%脉宽10us u=B/tp;%LFM 系数 fs=50e6;%fs>=2*B/tp*tau R0=3000;%初始距离 R=4500;%距离波门 c=3e8; f0=60e6;%载频

N=round(2*R/c*fs); fft_N=2^nextpow2(N); t=linspace(0,2*R/c,N); f=fs*(0:fft_N-1)/fft_N-fs/2;%从-fs/2到fs/2

%%%%%%%%%%%%%%%%%%%%%%%%%% 参考信号 %%%%%%%%%%%%%%%%%%%%%%%%%%%%%% Sref=exp(1i*pi*u*t.^2);

%%%%%%%%%%%%%%%%%%%%%%%%%%%% 回波信号 %%%%%%%%%%%%%%%%%%%%%%%%%%%% Sb=rectpuls(t-2*R0/c,tp).*exp(1j*pi*u*(t-2*R0/c).^2);

%%%%%%%%%%%%%%%%%%%%%%%%%%%% 混频信号 %%%%%%%%%%%%%%%%%%%%%%%%%%%% ssb=Sref.*conj(Sb); %% 加窗

% w = hamming(502)';

% hamming=[zeros(749,1)',w-min(w),zeros(249,1)']; % hamming=abs(hamming)/max(hamming);

hamming=[zeros(749,1)',hamming(502)',zeros(249,1)']; ssb0=hamming.*ssb;

spectrum_ssb0=fft(ssb0,fft_N); %一维距离像 spectrum_ssb=fft(ssb,fft_N); f=f*c*tp/2/B;%瞬时频率对应的距离

figure; %%图6

plot(f,db(abs(fftshift(spectrum_ssb))/max(fftshift(spectrum_ssb)))) hold on

plot(f,db(abs(fftshift(spectrum_ssb0))/max(fftshift(spectrum_ssb0))),'r') hold off

-4000

-3000-2000-1000

1000200030004000

-140-120

-100

-80

-60

-40

-20

频率 f/Hz

归一化幅度 /d b

去斜加窗与否对比图

未加窗加窗

-4000

-3000-2000

-100001000200030004000

-150-100-50

距离/m

归一化幅度 /d b

消除了RVP 和距离扭曲的混频信号

-4000

-3000-2000-1000

01000200030004000

0200400

600距离/m

幅度

消除了RVP 和距离扭曲的混频信号

二、检测

1、脉冲多普勒(PD 处理)

多普勒效应:fd=2v/c*f0,v 为镜像速度;

慢时间维上的采样点做FFT 可以测出目标的速度; 使用复信号:频率正负可测量目标速度的方向; clc;clear all ;close all ; f0=10e9;%载频

tp=10e-6;%脉冲宽度 B=10e6;%带宽

fs=100e6;%采样频率 R0=3000;%初始距离 c=3e8;%光速

R=4500;%距离波门

gate=R+tp*c/2;%距离波门加脉宽对应距离 N=round(2*gate/c*fs);%波门内采样点个数 fft_N=2^nextpow2(N); t=0:1/fs:tp;%信号长度

echo_t=linspace(0,2*gate/c,N);%波门长度 tau=2*R0/c; k=B/tp;%调频系数

Tr=100e-6;%脉冲重复周期

CPI=64;%总脉冲个数

v=60;%目标速度,朝向雷达

%发射信号

s=exp(i*pi*k*t.^2);

%回波信号

for m=1:CPI

sb(m,:)=rectpuls((echo_t-2*(R0-(m-1)*v*Tr)/c-tp/2)/(tp)).*exp(1i*pi*k *(echo_t-2*(R0-(m-1)*v*Tr)/c).^2-1i*pi*2*f0*round(2*R0/c*fs)+1i*2*pi* (2*f0*v/c)*(m-1)*Tr)+sqrt(0.1)*(randn(1,N)+1i*randn(1,N));

end

%脉压

fft_n=2^nextpow2(length(t)+N-1);

fft_s=fft(s,fft_n);

for m=1:1:CPI

fft_sb(m,:)=fft(sb(m,:),fft_n);

fft_ssb(m,:)=ifft(fft_sb(m,:).*conj(fft_s));

z(m,:)=abs(fft_ssb(m,(1:N)));

z1(m,:)=z(m,:)/max(z(m,:));

z1(m,:)=20*log10(z1(m,:));

[maxval,maxpo]=max(z1(m,:));

end

%FFT

for fm=1:N

dop(:,fm)=fft(fft_ssb(:,fm));

a_dop(:,fm)=fftshift(abs(dop(:,fm)));

end

%求极大值对应的坐标

[maxva,max_v]=max(a_dop(:,maxpo));

%PD测速

fd=(max_v-33)/CPI/Tr;

v_pd=fd*c/2/f0

%测速范围

fd_max=1/Tr/2;

v_max=fd_max*c/2/f0

%测速精度

det_fd=1/Tr/64;

det_v=det_fd*c/2/f0

figure;

mesh(echo_t*c/2,linspace(-75,75,64),a_dop);

axis tight;

xlabel('距离:m');

ylabel('速度:m/s');

title('二维距离-多普勒平面');

v_pd =60.9375 v_max =75 det_v =2.3438

2、形心法测距测速

%%%%%%%%%%%%%%%%%%%%%%%%%%%%% 形心法 %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% clc;clear all;close all

f0=10e9;%载频

tp=10e-6;%脉冲宽度

B=10e6;%带宽

fs=100e6;%采样频率

R0=3000;%初始距离

c=3e8;%光速

N=4096; %此为培训期间数据,实际情况可以根据波门和信号宽度求出N

t=(0:N-1)/fs;

snr=20;

tau=2*R0/c;

k=B/tp;%调频系数

Tr=100e-6;%脉冲重复周期

CPI=64;%总脉冲个数

v=60;%目标速度,朝向雷达

sigmaf=1^2/(10^(snr/10));

s=rectpuls(t-tp/2,tp).*exp(j*pi*k*(t-tp/2).^2);%发射信号

% figure;

% plot(t,real(s))

% xlabel('时间 /s');

% ylabel('幅度');

% title('发射信号实部');

% grid on

for m=1:CPI

taum=2*(R0-m*Tr*v)/c;

sb=rectpuls(t-taum-tp/2).*exp(j*pi*k*(t-taum-tp/2).^2).*exp(-2j*pi*f0 *taum);%回波信号

sb_noise=sb+sqrt(sigmaf/2)*(randn(1,N)+1i*randn(1,N));%加噪声的回波信号

fft_ssb=ifft(fft(sb).*conj(fft(s)));%脉压处理

fft_ssb_snr=ifft(fft(sb_noise).*conj(fft(s)));

Group(m,1:N)=fft_ssb;

Group_snr(m,1:N)=fft_ssb_snr;

end

figure;

imagesc(t*c/2,1:CPI,abs(fft_ssb))

figure;

imagesc(t*c/2,1:CPI,abs(fft_ssb_snr))

for n=1:N

Group2=fft(Group(1:CPI,n));%纵向做FFT

Group2_2=fftshift(abs(Group2));

Group3(n,1:CPI)=Group2_2;

end

for n1=1:N

Group2_snr=fft(Group_snr(1:CPI,n1));%纵向做FFT

Group2_2_snr=fftshift(abs(Group2_snr));

Group3_snr(n1,1:CPI)=Group2_2_snr;

end

figure;

mesh(abs(Group3))

figure;

mesh(abs(Group3_snr))

[line,row]=find(abs(Group3)==max(max(abs(Group3))));

[line_snr,row_snr]=find(abs(Group3_snr)==max(max(abs(Group3_snr)))); Range=t*c/2;

PRF=1/Tr;

fd=(-CPI/2:CPI/2-1)*PRF/CPI;

v=fd*c/2/f0;

for Ra=line-3:line+3

amp=abs(Group3(Ra,row));

C(Ra)=amp*Range(Ra);

D(Ra)=sum(amp);

end

sum(C)/sum(D)

for V=row-3:row+3

index=abs(Group3(line,V));

E(V)=index*v(V);

F(V)=sum(index);

end

sum(E)/sum(F)

for Ra_snr=line_snr-3:line_snr+3

amp_snr=abs(Group3_snr(Ra_snr,row_snr));

C_snr(Ra_snr)=amp_snr*Range(Ra_snr);

D_snr(Ra_snr)=sum(amp_snr);

end

sum(C_snr)/sum(D_snr)

for V_snr=row_snr-3:row_snr+3

index_snr=abs(Group3_snr(line_snr,V_snr));

E_snr(V_snr)=index_snr*v(V_snr);

F_snr(V_snr)=sum(index_snr);

end

sum(E_snr)/sum(F_snr)

结果:

ans =3.0000e+003

ans =60.3560

ans =3.0000e+003

ans =60.3533

3、信号检测

结果:

mean_noise =0.0010 + 0.0079i

var_noise = 1.0123

pf1 =1.0000e-003

1000200030004000

5000600070008000900010000

00.511.52

2.5

3

3.5

点数

模值

高斯白噪声取模值后的波形以及检测门限

高斯白噪声模值检测门限

2

4

6

8

1012

14

16

18

20

0.10.20.30.40.50.60.70.80.91SNR/dB

检测概率

检测概率相对于SNR 曲线

4、单脉冲测角仿真

单脉冲跟踪雷达是通过比较来自两个或多个同时波束的信号获得目标角位置信息的一种雷达;

目前常用的单脉冲测角方法主要有幅度和差单脉冲测角和相位和差单脉冲测角。幅度和差单脉冲测角通过比较两个相位中心重合但指向不同的波束得到目标角度信息;相位和差单脉冲测角则通过比较两个相位中心有一定距离但波束指向相互平行的波束得到目标角度信息。

相位和差单脉冲与幅度和差单脉冲的相似之处在于:目标角度坐标都是由一个和通道和两个差通道来提取的。主要不同之处在是,幅度和差单脉冲产生的四个信号具有相同的相位但具有不同的幅度,而相位和差单脉冲信号具有相同的幅度但有不同的相位。相位和差单脉冲对每个坐标系(方位和俯仰坐标)使用最少由两个阵元组成的阵列天线。相位误差信号是由于不同天线阵元产生的信号之间的相位差来计算得出的。

%%%%%%%%%%%%%%%%%%%%%%%% 单脉冲测角仿真 %%%%%%%%%%%%%%%%%%%%%%%%%%%%

f0=10e6;

R0=3e3;%目标距0号阵元的距离

d=10;%阵列接收天线之间的距离

theta0=0.2*pi/180;%目标角度

%R0=R0+d*sin(theta0)/2;

c=3e8;%光速

lamda=c/f0;

tau0=2*R0/c;%到0号阵元的时延

theta=linspace(-1*pi/180,1*pi/180,1000);

thetaP=0.15*pi/180;%偏置角

N=4;%天线个数

%% 幅度和差单脉冲测角

%相同相位不同幅度

%波束形成结果

Y=exp(2j*pi*f0*tau0)*exp(j*pi*(N-1)*d*sin(theta0)/lamda).*(sin(N*pi*d *(sin(theta0)-sin(theta))/lamda)./sin(pi*d*(sin(theta0)-sin(theta))/l amda));

thetaA=theta+thetaP;

thetaB=theta-thetaP;

%偏置波束A、B

Y_thetaA=exp(2j*pi*f0*tau0).*exp(j*pi*(N-1)*d*sin(theta0)/lamda).*(si n(N*pi*d*(sin(theta0)-sin(thetaA))/lamda)./sin(pi*d*(sin(theta0)-sin( thetaA))/lamda));

Y_thetaB=exp(2j*pi*f0*tau0).*exp(j*pi*(N-1)*d*sin(theta0)/lamda).*(si n(N*pi*d*(sin(theta0)-sin(thetaB))/lamda)./sin(pi*d*(sin(theta0)-sin(thetaB))/lamda));

%差波束

Y_delta=Y_thetaA-Y_thetaB; %和波束

Y_sigma=Y;

%复比

Y_AB=Y_delta./Y_sigma;

thetaAB=linspace(0*pi/180,0.35*pi/180,1000);

-1

-0.8-0.6-0.4-0.2

00.20.40.60.81

3.994

3.995

3.996

3.997

3.998

3.999

4

角度 /。

波束形成配相结果

00.050.1

0.150.20.250.30.35

3.994

3.995

3.996

3.997

3.998

3.999

4

角度 /。

幅度

幅度和差单脉冲测角之和波束

00.050.1

0.150.20.250.30.35

-2

-1.5-1-0.500.5

11.522.5

x 10

-3

角度 /。

幅度

幅度和差单脉冲测角之差波束

00.050.1

0.150.20.250.30.35

-6

-4

-2

2

4

6

8

x 10

-4

角度 /。

电压增益

幅度和差单脉冲测角之和差比

%% 相位和差单脉冲测角 %相同幅度不同相位

%第一种配相方法

%波束1

beam1=exp(2j*pi*f0*tau0)*[exp(2j*pi*0*d*(sin(theta0)-sin(theta))/lamd a)+exp(2j*pi*1*d*(sin(theta0)-sin(theta))/lamda)];

%波束2

beam2=exp(2j*pi*f0*tau0)*exp(2j*pi*2*d*sin(theta0)/lamda)*[exp(2j*pi*0*d*(sin(theta0)-sin(theta))/lamda)+exp(2j*pi*1*d*(sin(theta0)-sin(th eta))/lamda)];

beam_sigma=beam1+beam2;%和波束 beam_delta=beam1-beam2;%差波束

beam_12=(beam1-beam2)./(beam1+beam2);%和差比

-1

-0.8

-0.6

-0.4

-0.2

00.2

0.4

0.6

0.8

1

3.9989

3.9993.99913.99923.99933.99943.99953.99963.99973.99983.9999角度 /。

第一种配相法之和波束

-1

-0.8-0.6-0.4-0.2

0.20.40.60.81

0.0292

0.02920.02920.02920.02920.02920.02920.02920.02920.0292角度 /。

第一种配相法之差波束

-1

-0.8-0.6-0.4-0.2

00.20.40.60.81

-7.3109

-7.3109

-7.3109-7.3109-7.3109-7.3109-7.3109-7.3109-7.3109-7.3109-7.3109

x 10

-3

角度 /。

第一种配相之和差比

%% 第二种配相方法

%波束1

beam1_2=exp(2j*pi*f0*tau0).*[exp(2j*pi*0*d*(sin(theta0)-sin(theta))/l amda)+exp(2j*pi*1*d*(sin(theta0)-sin(theta))/lamda)];

%波束2

beam2_2=exp(2j*pi*f0*tau0).*exp(2j*pi*2*d*(sin(theta0)-sin(theta))/la mda).*[exp(2j*pi*0*d*(sin(theta0)-sin(theta))/lamda)+exp(2j*pi*1*d*(s in(theta0)-sin(theta))/lamda)]; beam2_sigma=beam1_2+beam2_2;%和波束 beam2_delta=beam1_2-beam2_2;%差波束

beam12_2=(beam1_2-beam2_2)./(beam1_2+beam2_2);%和差比

现代雷达信号处理技术及发展趋势..

现代雷达信号处理技术及发展趋势 摘要:自二战以来,雷达就广泛应用于地对空、空中搜索、空中拦截、敌我识别等领域,后又发展了脉冲多普勒信号处理、结合计算机的自动火控系统、多目标探测与跟踪等新的雷达体制。随着科技的不断进步,雷达技术也在不断发展,现代雷达已经具备了多种功能,如反隐身、反干扰、反辐射、反低空突防等能力,尤其是在复杂的工作环境中提取目标信息的能力不断得到加强。例如,利用雷达系统中的信号处理技术对接收数据进行处理不仅可以实现高精度的目标定位与跟踪, 还能够在目标识别和目标成像、电子对抗、制导等功能方面进行拓展, 实现综合业务的一体化。 一、雷达的起源及应用 雷达,是英文Radar的音译,源于radio detection and ranging的缩写,意思为"无线电探测和测距",即用无线电的方法发现目标并测定它们的空间位置。因此,雷达也被称为“无线电定位”。雷达是利用电磁波探测目标的电子设备。雷达发射电磁波对目标进行照射并接收其回波,由此获得目标至电磁波发射点的距离、距离变化率(径向速度)、方位、高度等信息。雷达最为一种重要的电磁传感器,在国防和国民经济中应用广泛,最大特点是全天时、全天候工作。雷达由天线、发射机、接收机、信号处理机、终端显示等部分组成。 雷达的出现,是由于二战期间当时英国和德国交战时,英国急需一种能探测空中金属物体的雷达(技术)能在反空袭战中帮助搜寻德国飞机。二战期间,雷达就已经出现了地对空、空对地(搜索)轰炸、空对空(截击)火控、敌我识别功能的雷达技术。二战以后,雷达发展了单脉冲角度跟踪、脉冲多普勒信号处理、合成孔径和脉冲压缩的高分辨率、结合敌我识别的组合系统、结合计算机的自动火控系统、地形回避和地形跟随、无源或有源的相位阵列、频率捷变、多目标探测与跟踪等新的雷达体制。后来随着微电子等各个领域科学进步,雷达技术的不断发展,其内涵和研究内容都在不断地拓展。雷达的探测手段已经由从前的只有雷达一种探测器发展到了红外光、紫外光、激光以及其他光学探测手段融合协作。

雷达信号处理和数据处理

脉冲压缩雷达的仿真脉冲压缩雷达与匹配滤波的MATLAB仿真 姓名:-------- 学号:---------- 2014-10-28 西安电子科技大学

一、 雷达工作原理 雷达,是英文Radar 的音译,源于radio detection and ranging 的缩写,原意为"无线电探测和测距",即用无线电的方法发现目标并测定它们的空间位置。因此,雷达也被称为“无线电定位”。利用电磁波探测目标的电子设备。发射电磁波对目标进行照射并接收其回波,由此获得目标至电磁波发射点的距离、距离变化率(径向速度)、方位、高度等信息。 雷达发射机的任务是产生符合要求的雷达波形(Radar Waveform ),然后经馈线和收发开关由发射天线辐射出去,遇到目标后,电磁波一部分反射,经接收天线和收发开关由接收机接收,对雷达回波信号做适当的处理就可以获知目标的相关信息。 但是因为普通脉冲在雷达作用距离与距离分辨率上存在自我矛盾,为了解决这个矛盾,我们采用脉冲压缩技术,即使用线性调频信号。 二、 线性调频(LFM )信号 脉冲压缩雷达能同时提高雷达的作用距离和距离分辨率。这种体制采用宽脉冲发射以提高发射的平均功率,保证足够大的作用距离;而接受时采用相应的脉冲压缩算法获得窄脉冲,以提高距离分辨率,较好的解决雷达作用距离与距离分辨率之间的矛盾。 脉冲压缩雷达最常见的调制信号是线性调频(Linear Frequency Modulation )信号,接收时采用匹配滤波器(Matched Filter )压缩脉冲。 LFM 信号的数学表达式: (2.1) 其中c f 为载波频率,()t rect T 为矩形信号: (2.2)

雷达信号处理基本流程

基本雷达信号处理流程 一、脉冲压缩 窄带(或某些中等带宽)的匹配滤波: 相关处理,用FFT数字化执行,即快速卷积处理,可以在基带实现(脉冲压缩)快速卷积,频域的匹配滤波 脉宽越小,带宽越宽,距离分辨率越高; 脉宽越大,带宽越窄,雷达能量越小,探测距离越近; D=BT(时宽带宽积); 脉压流程: 频域:回波谱和参考函数共轭相乘 时域:相关 即输入信号的FFT乘上参考信号FFT的共轭再逆FFT; Sc=ifft(fft(Sb).*conj(fft(S))); Task1 f0=10e9;%载频tp=10e-6;%脉冲宽度B=10e6;%信号带宽fs=100e6;%采样率 R0=3000;%目标初始距离N=4096;c=3e8;tau=2*R0/c;beita=B/tp;t=(0:N-1)/fs; Sb=rectpuls(t-tp/2-tau,tp).*exp(j*pi*beita*(t-tp/2-tau).^2).*exp(-2j* pi*f0*tau);%回波信号 x 107

S=rectpuls(t-tp/2,tp).*exp(i*pi*beita*(t-tp/2).^2);%发射信号(参考信号) x 10 -5 x 10 -5 x 10 7 So=ifft(fft(Sb).*conj(fft(S)));%脉压 figure(7); plot(t*c/2,db(abs(So)/max(So)))%归一化dB grid on -400 -350-300-250-200-150-100-500

二、去斜处理(宽带的匹配滤波) 去斜处理“有源相关”,通常用来处理极大带宽的LFM波形(如果直接采样的话因为频带很宽所以在高频的时候需要的采样率就很大,采样点数就很多,所以要经过去斜处理) Stretch方法是针对线性调频信号而提出的,其方法是将输入信号与参考信号(经适当延迟的本振信号,延迟量通常由窄带信号测距结果估计出)混频,则每一个散射点就对应一个混频后的单频分量,对混频输出的信号进行DFT处理,即可获得目标的距离像,对参考信号的要求是应具有与输入信号相同的调频斜率。 去斜处理流程: 输入信号输出信号 参考信号 混频过程为回波信号在时域与参考信号的共轭相乘 混频后得到一个瞬时频率和目标距离成正比的单频信号,对其进行频谱分析即可得到目标的距离像; 去斜处理一般情况下可降低信号带宽; %%%%%%%%%%%%%%%%%%%%%%%% 去斜处理仿真程序 %%%%%%%%%%%%%%%%%%%%%%%%% clc;clear all;close all; B=10e6;%带宽10MHz tp=10e-6;%脉宽10us k=B/tp;%LFM系数 fs=50e6; R0=3e3;R1=2000;R2=3500;R=5000; c=3e8; f0=60e6; N=round(2*R/c*fs); fft_N=2^nextpow2(N); t=linspace(0,2*R/c,N);

一种雷达信号处理模块的设计和实现

一种雷达信号处理模块的设计和实现 一种雷达信号处理模块的设计和实现 现代雷达特别是机载雷达数字信号处理机的特点是输入数据多,工作模式复杂,信息处理量大。因此,在一个实时信号处理系统中,雷达信号处理系统要同时进行高速数据分配、处理和大量的数据交换.而传统的雷达信号处理系统的设计思想是基于任务,设计者针对应用背景确定算法流程,确定相应的系统结构,再将结构划分为模块进行电路设计。这种方法存在一定的局限性。 首先,硬件平台的确定会使算法的升级受到制约,由此带来运算量加大、数据存储量增加甚至控制流程变化等问题。此外,雷达信号处理系统的任务往往不是单一的,目前很多原来由模拟电路完成的功能转由数字器件来处理。系统在不同工作阶段的处理任务不同,需要兼顾多种功能。这些问题都对通用性提出了进一步要求[2].随着大规模集成电路技术、高速串行处理及各种先进算法的飞速发展,利用高速DSP和FPGA相结合的系统结构是解决上述问题的有效途径。 1雷达信号处理机方案设计 1.1雷达信号处理的目的 现代机载雷达信号处理的任务繁重,主要功能是在空空方式下将AD 数据录取后进行数字脉压处理、数据格式转换和重排、加权降低频谱副瓣电平,然后进行匹配滤波或相参积累(FFT或DFT)、根据重复频率的方式进行一维或二维CFAR处理、跟踪时测角等运算后提取出点迹目标送给

数据处理机。空地方式下还要进行地图(如RBM和SAR)等相关图像成像处理,最后坐标转换成显示数据送给显控处理机。 上述任务需要基于百万门级可编程逻辑器件FPGA与高性能DSP芯片作为信号处理模块,以充分满足系统的实时性要求,同时为了缩短机载雷达系统的研制周期和减少开发经费,设计的基本指导思想是通用化的信号处理模块,可以根据不同要求,通过软件自由修改参数,方便用户使用。 1.2系统模块化设计方案 的功能模块,除了信号处理所必需的脉冲压缩模块、为MTD模块作准备的数据重排模块、FIR滤波器组模块、求模模块、恒虚警处理模块和显示数据存储模块外,还包括雷达同步信号和内部处理同步产生模块、自检数据产生模块以及不同测试点测试数据采样存储模块。这些模块更加丰富了系统的功能,使得雷达系统的研制者能够更方便地测试和观察信号处理各功能模块的工作情况。 主要功能模块的具体功能描述如下: (1)正交采样是信号处理的第一步,担负着为后续处理提供高质量数据的任务,中频接收机输出的信号先通过A/D转换器进行采样,然后进行正交解调,以获得中频信号的基带信号(也称为中频信号的复包络)的I、Q两路正交信号,采样的速率和精度是需要考虑的首要问题,采样系统引起的失真应当被限定在后续信号处理任务所要求的误差范围内。 (2)脉冲压缩模块是在发射峰值功率受限的情况下,使用匹配滤波器将接收到的宽脉冲信号变成窄脉冲且保持能量不变,以获得更高的距离

雷达数字信号处理解决方案

雷达数字信号处理解决方案 1.背景 数字信号处理是现代通信、雷达和电子对抗设备的重要组成部分。在实际应用中,利用数字信号处理技术对接收数据进行处理,不仅可以实现高精准的目标定位和目标跟踪,还能够将目标识别、目标成像、精确制导、电子对抗等功能进行拓展,实现多种业务的一体化集成。 在现代雷达系统中,随着有源相控阵和数字波束形成(DBF)技术的广泛应用,接收前端存在大量的数据需要并行处理,并需要保证高性能和低延迟的特点。雷达日益复杂的应用环境,让雷达系统具备自适应于探测目标和环境的能力,数字信号处理部分也需要使用多种更加复杂的算法,并且可以做到算法模块化,以及通过软件配置功能模块的参数,实现软件定义的功能。更大的数据处理带宽能够使雷达获得更高的分辨率,更高的工作频率使得雷达可以小型化,能够在更小的平台上安装,这样对于硬件平台实现也有低功耗的要求。 在电子对抗设备中,可以在最短的时间内对多个威胁目标进行快速分析和响应,同样需要数字信号处理的相关算法具备高实时,高动态范围和自适应的特点。如何在宽频噪声的环境中寻找到目标的特征数据,如何在宽带范围内制造虚假目标实现全覆盖,数字信号的处理性能是至关重要的设计因素。 加速云的SC-OPS和SC-VPX产品,针对5G通信和雷达的数字信号处理的要求,结合Intel最新14nm 工艺的Stratix10 FPGA系列,提供了一套完整的硬件和软件相结合的解决方案。SC-OPS产品作为单独的硬件加速卡,通过PCIe插卡的方式实现与主机的通信功能,还可以通过多卡级联的方式实现数字信号的分布式处理方案。SC-VPX产品是由FPGA业务单板、主控板和机箱组成的VPX系统。借助于FPGA可编程的特性,加速云提供了高性能数学加速库FBLAS和FFT的RTL级IP,具有高性能和算法参数可配置的特点实现了多重信号分类(MUSIC)和自适应数字波束形成(ADBF)的核心算法,提高了5G通信和雷达在对抗干扰方面的性能。为了方便客户使用高层语言开发,加速云提供基于FPGA完整的OpenCL异构开发环境,快速实现用户自定义的信号处理加速方案。 图1. 加速云SC-OPS和SC-VPX产品

雷达信号处理基本流程

基本雷达信号处理流程 一、脉冲压缩 窄带(或某些中等带宽)的匹配滤波: 相关处理,用FFT 数字化执行,即快速卷积处理,可以在基带实现(脉冲压缩) 快速卷积,频域的匹配滤波 脉宽越小,带宽越宽,距离分辨率越高 ; 脉宽越大,带宽越窄,雷达能量越小,探测距离越近; D=BT (时宽带宽积); 脉压流程: 频域:回波谱和参考函数共轭相乘 时域:相关 即输入信号的FFT 乘上参考信号FFT 的共轭再逆FFT ; Sc=ifft(fft(Sb).*conj(fft(S))); FFT 输入信号 共轭相乘逆FFT 参考信号的FFT 匹配滤波器 输出 Task1 f0=10e9;%载频tp=10e-6;%脉冲宽度B=10e6;%信号带宽fs=100e6;%采样率 R0=3000;%目标初始距离N=4096;c=3e8;tau=2*R0/c;beita=B/tp;t=(0:N-1)/fs; Sb=rectpuls(t-tp/2-tau,tp).*exp(j*pi*beita*(t-tp/2-tau).^2).*exp(-2j*pi*f0*tau);%回波信号 1000 2000 3000 4000 5000 6000 7000 -1-0.8-0.6-0.4-0.200.20.40.60.81 1000 2000 3000 4000 5000 6000 7000 -1-0.8-0.6-0.4-0.200.20.40.60.81 012345678910 x 10 7 20 40 60 80 100 120

S=rectpuls(t-tp/2,tp).*exp(i*pi*beita*(t-tp/2).^2);%发射信号(参考信号) 0.5 1 1.5 2 2.5 3 3.5 4 4.5x 10 -5 -1-0.8-0.6-0.4-0.200.20.40.60.81 0.5 1 1.5 2 2.5 3 3.5 4 4.5x 10 -5 -1-0.8-0.6-0.4-0.200.20.40.60.81 012345678910x 10 7 20 40 60 80 100 120 So=ifft(fft(Sb).*conj(fft(S)));%脉压 figure(7); plot(t*c/2,db(abs(So)/max(So)))%归一化dB grid on 01000200030004000500060007000 -400 -350-300-250-200-150-100-500

一种雷达通用信号处理系统的实现与应用

一种雷达通用信号处理系统的实现与应用 一种雷达通用信号处理系统的实现与应用 FPGA是一种现场可编程器件,设计灵活方便可以反复修改内部逻辑,适用于算法结构比较简单、处理速度较高的情况。DSP是一种基于指令集的处理器,适于大信息、复杂算法的信息处理场合。鉴于两种处理器件自身优势,FPGA+DSP信号处理架构,已成为信号处理系统的常用结构。但当前FPGA+DSP的信号处理平台或者是基于某些固定目的,实现某些固定功能,系统的移植性、通用性较差。或者仅仅简要介绍了平台的结构没有给出一些具体的实现。本文提出的基于FPGA+DSP通用信号处理平台具有两种处理器的优点,兼颐速度和灵活性,而且可以应用在不同雷达信号处理系统中,具有很强的通用性。本文举例说明该系统在连续波雷达和脉冲雷达中的典型应用。1系统资源概述1.1处理器介绍本系统FPGA选择Altera公司的EP2S60F1020。Stratix II FPGA采用TSMC的90nm 低k绝缘工艺技术。Stratix II FPGA支持高达1Gb·s-1的高速差分I/O信号,满足新兴接口包括LVDS,LNPECL和HyperTransport标准的高性能需求,支持各种单端I/O接口标准。EP2S60系列内部有48352个ALUT;具有2544192bit的RAM 块,其中M512RAM(512bit)329个,M4K RAM(4kbit)255个,M-RAM(512kbit)2个。具有嵌入式DSP块36个,等效18bit×18bit乘法器144个;具有加强型锁相环EPLL4个,

快速锁相环FPLL8个。这些锁相环具有高端功能包括时钟切换,PLL 重新配置,扩频时钟,频率综合,可编程相位偏移,可编程延迟偏移,外部反馈和可编程带宽等。本系统DSP选择ADI公司的ADSP TS201。它有高达600MHz的运行速度,1.6ns的指令周期;有24MB的片内DRAM;双运算模块,每个计算块包含1个ALU,一个乘法器,1个移位器,1个寄存器组和1个通信逻辑单元(CLU);双整数ALU,提供数据寻址和指针操作功能;集成I/O接口,包括14通道的DMA控制器,外部端口,4个链路口,SDRAM控制器,可编程标识引脚,2个定时器和定时器输出引脚等用于系统连接;IEEE1149.1兼容的JTAG端口用于在线仿真;通过共享总线可以无缝连接多达8个TigerSHARC DSP。1.2FPGA+DSP结构由于FPGA和DSP各自的自身优势,FPGA+DSP信号处理架构已成为信号处理系统的常用结构。一般情况下FPGA+DSP的拓扑结构会根据需要进行不同的连接,这就导致这种结构的专用性,缺乏灵活性。对于一个通用处理平台要考虑到各种不同的信号通路,因此大部分通用FPGA+DSP平台都采取各个处理器间均有通路的方式。这种拓扑结构灵活方便,可以满足各种不同的通路需求,这种结构的缺点就是硬件设计的复杂以及可能会有资源浪费。对于这种通用FPGA+DSP 结构,FPGA与各个DSP之间均有连接,不同之处便是DSP之间的拓扑结构。一般分两种,一是高速外部总线口耦合结构组成多DSP 系统,这种结构可以实现多DSP共享系统内的资源,系统内的个处理器可以共享RAM,SDRAM和主机等资源,还可共享其他处理器核

雷达信号处理的MATLAB仿真

11目录 1. 设计的基本骤 (1) 1.1 雷达信号的产生 (1) 1.2 噪声和杂波的产生 (1) 2. 信号处理系统的仿真 (1) 2.1 正交解调模块 (2) 2.2 脉冲压缩模块 ............................................... 2.3 回波积累模块 ............................................... 2.4 恒虚警处理(CFAR)模块 (4) 结论 (11)

1 设计的基本骤 雷达是通过发射电磁信号,再从接收信号中检测目标回波来探测目标的。再接收信号中,不但有目标回波,也会有噪声(天地噪声,接收机噪声);地面、海面和气象环境(如云雨)等散射产生的杂波信号;以及各种干扰信号(如工业干扰,广播电磁干扰和人为干扰)等。所以,雷达探测目标是在十分复杂的信号背景下进行的,雷达需要通过信号处理来检测目标,并提取目标的各种信息,如距离、角度、运动速度、目标形状和性质等。 图3-6 设计原理图 2 信号处理系统的仿真 雷达信号处理的目的是消除不需要的信号(如杂波)及干扰,提取或加强由目标所产生的回波信号。雷达信号处理的功能有很多,不同的雷达采用的功能也有所不同,本文是对某脉冲压缩雷达的信号处理部分进行仿真。一个典型的脉冲压缩雷达的信号处理部分主要由A/D 采样、正交解调、脉冲压缩、视频积累、恒虚警处理等功能组成。因此,脉冲压缩雷达信号处理的仿真模型.

2.1 正交解调模块 雷达中频信号在进行脉冲压缩之前,需要先转换成零中频的I 、Q 两路正交信号。中频信号可表示为: 0()()cos(2())IF f t A t f t t π?=+ (3.2) 式(3.2)中, f 0 为载波频率。 令: 00()()cos 2()sin 2IF f t I t f t Q t f t ππ=- (3.3) 则 00()()cos 2()sin 2IF f t I t f t Q t f t ππ=- (3.4) 在仿真中,所有信号都是用离散时间序列表示的,设采样周期为T ,则中频信号为 f IF (rT ) ,同样,复本振信号采样后的信号为 f local =exp(?j ω 0rT ) (3.5) 则数字化后的中频信号和复本振信号相乘解调后,通过低通滤波器后得到的基带信号f BB (r ) 为: 11 000{()cos()}(){()sin()}()N N BB IF IF n n f f r n r n T h n j f r n r n T h n ωω--==-----∑∑ (3.6) 式(3.6)中, h (n ) 是积累长度为N 的低通滤波器的脉冲响应。 根据实际的应用,仅仅采用以奈奎斯特采样率进行采样的话,得不到较好混频信号和滤波结果,采样频率f s 一般需要中心频率的4 倍以上才能获得较好的信号的实部和虚部。当采样频率为f s = 4 f 0时,ω0 T = π/2,则基带信号可以简化为 110(){()cos()}(){()sin()}()22N N BB IF IF n n f r f r n r n h n j f r n r n h n ππ --==-----∑∑ (3.7) 使用Matlab 仿真正交解调的步骤: (1) 产生理想线性调频信号y 。 (2) 产生I 、Q 两路本振信号。设f 0为本振信号的中心频率,f s 为采样频率,n 为线性 调频信号时间序列的长度,则I 路本振信号为cos(n2πf 0/f s ),同样,Q 路本振信 号sin(n2πf 0/f s )。当f s = 4 f 0 时,I 、Q 两路本信号分别为cos(πn/2)和sin( n π /2)。 (3) 线性调频信号y 和复本振信号相乘,得到I 、Q 两路信号。

雷达系统中的信号处理技术

雷达系统中的信号处理技术 摘要本文介绍了雷达系统及雷达系统信号处理的主要内容,着重介绍与分析了雷达系统信号处理的正交采样、脉冲压缩、MTD和恒虚警检测几种现代雷达技术,雷达系统通过脉冲压缩解决解决雷达作用距离和距离分辨力之间的矛盾,通过MTD来探测动目标,通过恒虚警(CFAR)来实现整个系统对目标的检测。 关键词雷达系统正交采样脉冲压缩MTD 恒虚警检测 1雷达系统概述 雷达是Radar(Radio Detection And Ranging)的音译词,意为“无线电检测和测距”,即利用无线电波来检测目标并测定目标的位置,这也是雷达设备在最初阶段的功能。雷达的任务就是测量目标的距离、方位和仰角,还包括目标的速度,以及从目标回波中获取更多有关目标的信息。典型的雷达系统如图1,它主要由雷达发射机、天线、雷达接收机、收发转换开关、信号处理机、数据处理机、终端显示等设备组成。 图1雷达系统框图

随着现代电子技术的不断发展,特别是数字信号处理技术、超大规模集成数字电路技术、计算机技术和通信技术的告诉发展,现代雷达信号处理技术正在向着算法更先进、更快速、处理容量更大和算法硬件化方向飞速发展,可以对目标回波与各种干扰、噪声的混叠信号进行有效的加工处理,最大程度低剔除无用信号,而且在一定的条件下,保证以最大发现概率发现目标和提取目标的有用信息。 雷达发射机产生符合要求的雷达波形,然后经馈线和收发开关由发射天线辐射出去,遇到目标后,电磁波一部分反射,经接收天线和收发开关由雷达接收机接收,然后对雷达回波信号依次进行信号处理、数据处理,就可以获知目标的相关信息。 雷达信号处理的流程如下: 图 2 雷达信号处理流程 2雷达信号处理的主要内容 雷达信号处理是雷达系统的主要组成部分。信号处理消除不需要的杂波,通过所需要的目标信号,并提取目标信息。内容包括雷达信号处理的几个主要部分:正交采样、脉冲压缩、MTD和恒虚警检测。 正交采样是信号处理的第一步,担负着为后续处理提供高质量数据的任务。采样的速率和精度是需要考虑的首要问题,采样系统引起的失真应当被限定在后续信号处理任务所要求的误差范围内,直接中频数字正交采样是当代雷达的主要技术之一。脉冲压缩技术在现代雷达系统中得到了广泛的应用。脉冲压缩雷达既能保持窄脉冲雷达的高距离分辨力,又能获得脉冲雷达的高检测力,并且抗干扰能力强。现在,脉冲压缩雷达使用的波形正在从单一的线性调频发展到时间、频率、编码混合调制,在尽可能不增加整机复杂度的条件下实现雷达性能的提升。杂波抑制是雷达需要具备的重要功能之一。动目标指示与检测是通过回波多普勒频移的不同来区分动目标和固定目标,通过设计合理的滤波器(组),就可以把目标号和杂波分开。

雷达信号处理

雷达信号处理技术与系统设计 第一章绪论 1、1 论文的背景及其意义 近年来,随着电子器件技术与计算机技术的迅速发展,各种雷达信号处理技术的理论与应用研究成为一大热门领域。 雷达信号的动目标检测(MAD)就是利用动目标、地杂波、箔条与气象干扰在频谱上的差别,抑制来自建筑物、山、树、海与雨之类的固定或低速杂波信号。区分运动目标与杂波的基础就是它们在运动速度上的差别,运动速度不同会引起回波信号频率产生的多普勒频移不相等,这就可以从频率上区分不同速度目标的回波。固定杂波的中心频率位于零频,很容易设计滤波器将其消除。但对于运动杂波,由于其多普勒频移未知,不能像消除固定杂波那样很容易地设计滤波器,其抑制就变得困难了从本质上来讲,雷达信号的检测问题就就是对某一坐标位置上目标信号“有”或“无”的判断问题。最初,这一任务由雷达操作员根据雷达屏幕上的目标回波信号进行人工判断来完成。后来,出现了自动检测技术,一开始为固定或半固定门限检测,这种体制下当干扰与杂波功率水平增加几分贝,虚警概率将急剧增加,以至于显示器画面饱与或数据处理过载,这时即使信噪比很大,也不能作出正确的判断。为克服这些问题进而发展了自适应恒虚警(Constant FalseAlarm Rate,CFAR)检测。CFAR检测使得雷达在多变的背景信号中能够维持虚警概率的相对稳定,这种虚警概率的稳定性对于大多数的雷达,如搜索警戒雷达、跟踪雷达、火控雷达等。

第二章 雷达信号数字脉冲压缩技术 2、1 引言 雷达脉冲压缩器的设计实际上就就是匹配滤波器的设计。根据脉冲压缩系统实 现时的器件不同,通常脉冲压缩的实现方法分为两类,一类就是用模拟器件实现的 模拟方式,另一类就是数字方式实现的,主要采用数字器件实现。 脉冲压缩处理时必须解决降低距离旁瓣的问题,否则强信号脉冲压缩的旁瓣 会掩盖或干扰附近的弱信号的反射回波。这种情况在实际工作中就是不允许的。采 用加权的方法可以降低旁瓣,理论设计旁瓣可以达到小于-40dB 的量级。但用模拟技术实现时实际结果与理论值相差很大,而用数字技术实现时实际输出的距离旁瓣与理论值非常接近。数字脉压以其许多独特的优点正在或已经替代模拟器件进行脉冲压缩处理。 2、2 数字脉压实现方法 用数字技术实现脉冲压缩可采用时域方法或频域方法。至于采用哪种方法。 要根据具体情况而定,一般而言,对于大时宽带宽积信号,用频域脉压较好;对 于小时宽带宽积信号,用时域脉压较好。 2.2.1 时域卷积法实现数字脉压 时域脉冲压缩的过程就是通过对接收信号)(t s 与匹配滤波器脉冲响应)(t h 求卷积的方法实现的。根据匹配滤波理论,)()(0*t t s t h -=,即匹配滤波器就是输入信号的共轭镜像,并有响应的时移0t 。 用数字方法实现时,输入信号为)(n s ,起匹配滤波器为)(n h ,即匹配滤波器的输出为输入离散信号)(n s 与其匹配滤波器)(n h 的卷积

南京理工大学电子信息工程课程设计之雷达信号分析处理

附录一——MATLAB信号处理程序 %% 1、准备工作 %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% %% 开始 clc; clear; close all; clear vars; %% 雷达波形参数定义及说明 f1=1e3; % 最低频率 f2=11e3; % 最高频率 B=f2-f1; % 信号带宽 T=1e-2; % 信号扫频时宽(10ms) c=3e8; % 电磁波空间传播速度 f0=(f1+f2)/2; % 雷达工作频率(中心频率)(3kHz) fs=1e5; % 采样率(100kHz) N_signal_T=round(fs*T); % 单周期信号的数据点数 number_of_signal_period=400; % 脉冲信号的周期个数 duty_ratio=0.5; % 信号占空比 T_signal=T/duty_ratio; % 脉冲信号周期 %% 导入AD数据时频分析 [FileName,PathName] = uigetfile('C:\Users\XYB\Desktop\课程设计之雷达信号分析处理\AD数据\USB (3).dat','Select the USB.dat file'); f = fullfile(PathName,filesep,FileName); fid = fopen(f,'r'); data = fscanf(fid,'%x'); fclose(fid); data = data(1:2:end)*256 + data(2:2:end); %将16进制转换为10进制 datsgn = data./1000; %单位换算(mV->V) %转化为有符号数(去直流) datsgn=datsgn-mean(datsgn); %时域波形 figure; plot([0:1/fs:(length(datsgn)-1)/fs],datsgn); xlabel('时间/s') ylabel('振幅/V') title('LFMCW时域波形') %频谱图 N=1024; datfft = (2/N)*fftshift(fft(datsgn(1:N))); nordat = abs(datfft)/max(abs(datfft)); %对信号做FFT并归一化 figure; plot([-length(datfft)/2:(length(datfft)/2- 1)].*(fs/N),20*log10(abs(nordat)));

雷达信号处理和数据处理技术

雷达信号处理和数据处理技术 定价: ¥89.00元金桥价: ¥84.55元节省: ¥4.45元 内容简介 雷达信号处理和数据处理技术是雷达的神经中枢。信号处理通过对雷达回波信号的处理来发现目标和测定目标的坐标和速度等,形成目标点迹,数据处理通过对目标点迹的处理形成目标的航迹供指挥决策使用。 本书的主要内容包括雷达信号的形式、雷达杂波抑制、雷达脉冲压缩、雷达信号检测、雷达抗干扰、雷达目标识别、雷达点迹处理和雷达航迹处理等。 全书共14章,第1章为概论,第2章到第10章为雷达信号处理技术,第11章到第14章为雷达数据处理技术。全部内容既包含处理理论,也包含设计技术。 本书可以帮助雷达工程技术人员和雷达使用人员掌握有关雷达信号处理和数据处理技术,解决有关应用问题;同时还可以作为高等学校电子工程相关专业高年级本科生和研究生的参考用书。 雷达信号处理基础 定价: ¥55.00元金桥价: ¥52.25元节省: ¥2.75元

内容简介 本书译自国际著名雷达信号处理专家Mark A. Richards教授编写的教科书。该书介绍了雷达系统与信号处理的基本理论和方法,主要内容包括:雷达系统导论、雷达信号模型、脉冲雷达信号的采样和量化、雷达波形、多普勒处理、检测基础原理、恒虚警率检测、合成孔径雷达成像技术、波束形成和空-时二维自适应处理导论。书中包含了大量反映雷达信号处理最新研究成果和当前研究热点的补充内容,提供了大量有助于读者深入的示例。该书对基础理论和方法进行了详尽的介绍与深入严谨的论述,是一本雷达信号处理领域中高水平的教科书。 本书适合于从事雷达成像、检测、数据处理及相关信号处理的研究生作为教材使用,也是相关专业研究人员不可多得的一本参考书。Mark A.Richards。博士,佐治亚理工学院(Georgia Institute of Technology)的首席研发工程师和兼职教授。他具有20余年在学术界、工业界及政府部门从事雷达信号处理和嵌入式计算方面研究的经历。他曾被聘为美国国防高级研究计划署项目经理、IEEE 2001年雷达会议的总主席,以及IEEE图像处理和IEEE信号处理期刊的副编辑。Eichards博士长期从事关于雷达信号处理、雷达图像处理及相关学科的研究生教育和职业教育。这本严谨的著作源自于一位该领域令人尊敬的领导者,它提供了其他文献中所没有的关于雷达DSP基础及其应用的详细内容。对于那些不只想从普通雷达系统的书籍中粗略学习信号处理,还想学到更多关于信号模型、波形、干扰抑制、探测,以及诸如SAR和SFAP等高级雷达信号处理主题的人而言,本书是非常合适的。经过多年研究生和职业教育的完善与检验,这本深入介绍雷达DSP技术的书籍,以现有的先进雷达技术为基础,全面讨论了以下几方面的问题,并提供了详尽的例子:多域信号获取和采样、目标和干扰模型、常见雷达波形、干扰抑制技术、检测算法和工具、合成孔径成像和自适应阵列处理基础。 信息传输与正交函数 定价: ¥28.00元金桥价: ¥26.60元节省: ¥1.40元 内容简介 本书叙述了非正弦正交函数理论和以之为基础的信息传输系统,主要内容包括正交函数系、信息传输的基本思想和方法,移动通信与正交函数之间的关系,沃尔什函数的复制生成理论,一般复制生成理论及桥函数的概念,沃尔什函数及桥函数的相关函数的定义及其特性,序率分割制多路传输系统,信息传输系统的统一模型等。 本书可供从事通信、遥控、遥测和雷达工作的技术人员、科研人员以及高等院校师生参考。 DSP开发应用技术

雷达信号处理若干关键技术的研究

雷达信号处理若干关键技术的研究 针对气象雷达存在的距离模糊现象,本文提出了批次处理和相位编码两种解决方案;对航管一次雷达所面临的干扰,实现了干扰频谱分析和发射频率选择功能;对S模式二次雷达的编解码用不同方式进行了仿真,提出了一种改进的位和置信度判定算法。本文所做的工作和创新点如下:1)提出了一种改进的批次处理解距离模糊方法。 为减少模糊区域,设置噪声功率门限值,对于一次和二次回波功率都小于噪声门限值的情况不进行距离模糊的求解,使回波数据保留了更多的信息量。实现了解模糊的特殊时序,以及解模糊的指令分析,用EPLD和DSP相结合的方式实现了解模糊算法。 改进后的算法应用在某气象雷达上,采集的数据表明该方法具有较好的解距离模糊效果。2)对S模式二次雷达解码纠错提出了一种位和置信度判定方法,对基线多样点法进行改进,一是对采样数据采用移动平均法,二是通过增加两个参量以避免逻辑判断错误。 通过Matlab仿真,验证了算法的正确性。对编码过程,用长除法和查表法两种方法进行了VHDL的设计与仿真,并进行了比较。 对S模式二次雷达的编解码系统给出了硬件设计框图。3)设计了以TigerSHARC系列DSP芯片为主的信号处理器,大大增强了运算性能。 优化了数据处理流程,将数据分段,2片DSP实现并行计算,节约了处理时间。对SZ(8/64)相位码的特性进行了验证,采用模拟数据进行解模糊功能的仿真, 并与π/4相位编码进行了比较。 该信号处理器通用性好,而且可以实现相位编码功能,已应用在多部气象雷

达上。4)给出了一种自适应选频的频率捷变方法,通过发射的长周期的休止期对航管一次雷达的所有工作频率点进行采样,求平均后作为这些频率点的幅度,再对这些频率点的幅度进行分析和判断。 在固定频率和频率分集两种工作模式下,通过分析给出合适的频率选择。上述功能均在FPGA中加以实现。 在将各频率点幅值送往监控时,用VxWorks操作系统编写了通信程序。该模块已经在某航管一次雷达上得到应用,提高了该雷达的抗干扰性能。

第五章信号处理初步

第五章信号处理初步 测试工作的目的是获取反映被测对象的状态和特征的信息。但是有用的信号总是和各种噪声混杂在一起的,有时本身也不明显,难以直接识别和利用。只有分离信号与噪声,并经过必要的处理和分析、清除和修正系统误差之后,才能比较准确地提取测得信号中所含的有用信息。因此,信号处理的目的是: 1)分离信、噪,提高信噪比; 2)从信号中提取有用的特征信号; 3)修正测试系统的某些误差,如传感器的线性误差、温度影响等。 信号处理可用模拟信号处理系统和数字信号处理系统来实现。 模拟信号处理系统由一系列能实现模拟运算的电路,诸如模拟滤波器、乘法器、微分放大器等环节组成。其中大部分环节在前行课程和前面几章中已有讨论。模拟信号处理也作为数字信号处理的前奏,例如滤波、限幅、隔直、解调等预处理。数字处理之后也常需作模拟显示、记录等。 数字信号处理是用数字方法处理信号,它即可在通用计算机上借助程序来实现,也可以用专用信号处理机来完成。数字信号处理机具有稳定、灵活、快速、高效、应用范围广、设备体积小、重量轻等优点,在各行业中得到广泛的应用。 第一节数字信号处理的基本步骤 1.数字信号处理的基本步骤如图5-I所示。 信号的预处理是把信号变成适于数字处理的形式,以减轻数字处理的困难。 预处理包括: 1)电压幅值调理为便于采样,总是希望电压峰-峰值足够大,以便充分利用A/D换器的精确度。如12位的A/D转换器,其参考电压为 5V。由于2l2=4096,故其末位数的当量电压为2.5mV。若信号电平较低,转换后二进制数的高位都为0,仅在低位有值,转换后的信噪比将很差。若信号电平绝对值超过5V,则转换中又将发生溢出,这是不允的。所以进入A/D 转换的信号的电平应适当调整。 2)必要的滤波,以提高信噪比,并滤去信号中的高频噪声。

雷达信号处理及目标识别分析系统方案

雷达信号处理及目标识别分系统方案 西安电子科技大学 雷达信号处理国家重点实验室 二○一○年八月

一 信号处理及目标识别分系统任务和组成 根据雷达系统总体要求,信号处理系统由测高通道目标识别通道组成。它应该在雷达操控台遥控指令和定时信号的操控下完成对接收机送来的中频信号的信号采集,目标检测和识别功能,并输出按距离门重排后的信号检测及识别结果到雷达数据处理系统,系统组成见图1-1。 220v 定时信号 目标指示数据 目标检测结果输出目标识别结果输出 图1-1 信号处理组成框图 二 测高通道信号处理 测高信号处理功能框图见图2-1。 s 图2-1 测高通道信号处理功能框图

接收机通道送来中频回波信号先经A/D 变换器转换成数字信号,再通过正交变换电路使其成为I 和Q 双通道信号,此信号经过脉冲压缩处理,根据不同的工作模式及杂波区所在的距离单元位置进行杂波抑制和反盲速处理,最后经过MTD 和CFAR 处理输出检测结果。 三 识别通道信号处理 识别通道信号处理首先根据雷达目标的运动特征进行初分类,然后再根据目标的回波特性做进一步识别处理。目标识别通道处理功能框图见图3-1所示。 图3-1 识别通道处理功能框图 四 数字正交变换 数字正交变换将模拟中频信号转换为互为正交的I 和Q 两路基带信号,A/D 变换器直接对中频模拟信号采样,通过数字的方法进行移频、滤波和抽取处理获得基带复信号,和模拟的正交变换方法相比,消除了两路A/D 不一致和移频、滤波等模拟电路引起的幅度相对误差和相位正交误差,减少了由于模拟滤波器精度低,稳定性差,两路难以完全一致所引起的镜频分量。 目标识别结果输出

第五章信号处理初步资料

《机械工程测试技术》 第五章 数字信号处理初步 主讲:王建军 山东理工大学?机械工

第五章信号处理初步 ●测试的目的:获取被测对象的状态和特征的信息。但信号 总是与噪声混杂在一起。所以,有必要进行信号处理。●信号处理的目的: ?1)分离信、噪,提高信噪比。 ?2)从信号中提取有用的特征信息。 ?3)修正测试系统的某些误差,如:传感器的线性误差、温度影响。 ●信号分析:研究信号的构成和特征值。 ●信号处理:信号经过必要的变换以获取所需信息的过程。 ●信号处理分为两类:模拟信号处理和数字信号处理

模拟信号处理: ●实现模拟运算的电路,如模拟滤波器、乘法器、 微分放大器等。 ●模拟信号处理也可用于数字信号处理的前奏 (如滤波、限幅、隔直、解调)及后续处理 (如模拟显示、记录)。

数字信号处理: ●用数字方法处理信号,可采用通用计算机, 或专用的信号处理机实现。 ●数字信号处理技术目前正处于迅速的发展 阶段,如DSP芯片的开发与使用,势头很 好。

第一节数字信号处理的基本步骤 预处理A/D 转换数字信号处理器或计算机 A/D 转换 结果显示 预处理 x(t)y(t) 物理信号 x(t) 传感器 电信号信 号调理 电信号 A/D 转换数字信号数字信号 分析仪或计 算机 显示 物理信号 y(t) 传感器 电信号 信号调理 电信号 A/D 转换数字信号

?1、信号的预处理:把信号变成适于数字处理的形式,减轻数字处理的困难。 ●1)电压幅值调理,便于采样。例如:12位A/D 转换器,参考电压为±5V ,其末位数字的当量电压为2.5mV 。●2)必要的滤波,提高信噪比,虑去信号中的高频噪声。●3)隔离信号中的直流分量(如果所测信号不允许有直流分量)。 ●4)对调制信号进行预先解调。 预处理A/D 转换数字信号处理器或计算机 A/D 转换 结果显示 预处理 x(t) y(t)

雷达信号处理技术与系统

雷达信号处理技术与系统 设计

脉冲多普勒雷达信号处理仿真 一、雷达概述 雷达是Radar(Radio Detection And Ranging)的音译词,意为“无线电检测和测距”,即利用无线电波来检测目标并测定目标的位置,这也是雷达设备在最初阶段的功能。雷达的任务就是测量目标的距离、方位和仰角,还包括目标的速度,以及从目标回波中获取更多有关目标的信息。 典型的雷达系统如图1,它主要由雷达发射机、天线、雷达接收机、收发转换开关、信号处理机、数据处理机、终端显示等设备组成。 收发转换开关天线发射的电磁波 目标 雷达发射机 接收的电磁波 雷达接收机 信号处理机 数据处理机 终端显示 图1 雷达系统框图 雷达发射机产生符合要求的雷达波形,然后经馈线和收发开关由发射天线辐射出去,遇到目标后,电磁波一部分反射,经接收天线和收发开关由雷达接收机接收,然后对雷达回波信号依次进行信号处理、数据处理,就可以获知目标的相关信息。 二、雷达信号 雷达发射信号可以分为连续信号和脉冲信号,常规雷达信号包括非相参脉冲信号、相参脉冲信号、参差变周期脉冲信号、步进频率脉冲信号、线性调频信号、非线性调频信号、相位编码信号等,这里主要介绍常用的线性调频信号,非线性调频信号,相位编码信号等。 1.线性调频信号 为了实现雷达发射能量与分辨率之间的矛盾,线性调频脉冲压缩体制的发

射信号其载频在脉冲宽度内按线性规律变化即用对载频进行调制(线性调频)的方法展宽发射信号的频谱,使其相位具有色散。 LFM (Linear Frequency Modulation )信号(也称Chirp 信号)的数学表达式为: ) 2(22)( )(t K t f j c e T t rect t s +=π 式中c f 为载波频率,()t rect T 为矩形信号,即 11()0,t t rect T T elsewise ? , ≤?=?? ? B K T = ,是调频斜率。于是,信号的瞬时频率为()22c T T f Kt t + -≤≤,根 据K 的正负可以分为两种典型的chirp 信号,如图2所示。 图2 典型的chirp 信号 (a )up-chirp(K>0)(b )down-chirp(K<0) 2. 非线性调频信号 非线性调频脉冲信号是指脉内频率调制函数是非线性函数的一类信号。可以表示为: ))(exp()()(t j t u t x ?= )(t x 的调频函数:∑+∞ =-+= =1 1 2sin )()()(n nt n K B Bt f T t f τ πτ )(t x 的相位函数:∑ ?+∞ =∞ -+= =1 2 2sin )(2)(2)(n t nt n n K B t B dv v f t τ πττ π π?

相关主题
文本预览
相关文档 最新文档