当前位置:文档之家› (完整版)大学毕业设计说明书

(完整版)大学毕业设计说明书

2013届毕业设计说明书

基于EDA技术设计的32路彩灯控制器

系、部:电气与信息工程学院

学生姓名:

学号:

指导教师:

职称:

专业:电子信息工程

班级:

完成时间:2013年5月20日

摘要

随着科学技术的发展,在现代生活中,节日彩灯作为一种景观装饰被应用的领域越来越广泛。随着电子技术的发展,应用系统向着小型化、快速化、大容量、重量轻的方向发展,EDA技术的应用引起电子产品及系统开发的革命性变革。VHDL语言作为可编程逻辑器件的标准语言描述能力强,覆盖面广,抽象能力强,在实际应用中越来越广泛,也使人们可以从繁重的设计工作中解脱出来,提高设计效率,缩短产品研发周期。整个过程通过EDA工具自动完成,大大减轻了设计人员的工作强度,提高了设计质量,减少了出错的机会。

此次设计一个彩灯控制器,可以实现十六种不同花型的变化。用分频器来控制实现调速按钮,高电平变化快,低电平变化慢,还要配备清零按钮。根据上述的情况来分配任务,将本次设计的主控电路分为两个模块,分别为时序控制电路模块和显示模块。时序控制电路是根据输入信号的设置得到相应的输出信号,并且将此信号作为显示电路的时钟信号;显示电路输入时钟信号的周期有规律的输出设定的十六种不同彩灯变化花型。整个系统共有三个输入信号:控制彩灯节奏快慢的基准时钟信号CLK,系统清零信号CLR,彩灯频率输出选择控制信号CHOSE_KEY;共有32个输出信号LED[31..0],分别用于控制32路彩灯。

本文阐述了基于EDA技术的多路彩灯控制器的设计与分析,并在Quartus II 环境下采用VHDL语言实现,也得出了采用CPLD进行产品开发可以灵活地进行模块配置,大大缩短了产品开发周期,也有利于产品向小型化,集成化的方向发展。论述了基于VHDL语言和CPLD芯片的数字系统设计思想和实现过程。

关键词:彩灯控制器;VHDL;EDA

ABSTRACT

with the development of science and technology, in the modern life, is more and more widely application field. With the development of electronic technology, the application system toward miniaturization, the direction of the rapidness, large capacity, light weight, the application of EDA technology electronic products and systems development of revolutionary change. As the programmable logic devices standard language VHDL language description ability, wide coverage, abstract ability, more and more widely in the practical application, also make people can be free from work, improve the design efficiency, shorten product development cycle. The whole process finished automatically by the EDA tools, greatly reduce the design personnel's work strength, improve the quality of the design, reduces the chance of error.

A lantern controller, the design can achieve 16 different patterns of change, i.e. there should be a reset switch, use a state machine to control the implementation. Divider is used to realize the speed control button, control . Assigned tasks according to the above situation, the design of main control circuit can be divided into two modules, the timing control circuit module and display module respectively. Sequential control circuits are according to the input signal is set to get the appropriate output signals, and the display circuit as a signal of the clock signal; Display circuit input clock signal cycle of regular output of 16 different lights change pattern. There are three input signals: the whole system control lights rhythm of the reference clock signal CLK, CLR, system reset

signal lights CHOSE_KEY frequency output selection control signal; A total of 32 output signal LED [31. 0], 32 were used to control the lights.

This paper expounds the multi-way lantern controller based on EDA technology, the design and analysis, and in the Quartus II environment

are implemented using VHDL language, and the CPLD is obtained in terms

of product development can flexibly configure module, greatly shorten

the product development cycle, and conducive to product to miniaturization, the direction of integration. Based on VHDL language

and CPLD chip is discussed, and the digital system design ideas and implementation process.

Key words lantern controlle;VHDL; EDA

目录

1 绪论 (1)

1.1 课题背景 (1)

1.2 研究目的和意义 (2)

2 设计内容 (3)

2.1 设计要求 (3)

2.2 功能描述 (3)

2.3 设计原理 (4)

3模块设计及其功能 (5)

3.1 模块功能描述 (5)

3.2 时序控制电路设计 (5)

3.2.1振荡器 (6)

3.2.2频率发生器 (7)

3.2.3地址码产生器 (8)

3.3 显示控制电路设计 (10)

3.3.1编码发生器 (11)

3.3.2驱动电路 (20)

4 顶层模块设计 (21)

5 彩灯控制器仿真测试 (22)

5.1频率发生器模块仿真波形 (22)

5.2地址码产生器模块仿真波形 (23)

5.3编码发生器模块仿真波形 (24)

5.4彩灯控制器顶层文件仿真波形 (25)

6 总结 (26)

参考文献 (27)

致谢 (29)

附录程序清单 (30)

1 绪论

随着科技日新月异的发展,在现代生活中,彩灯作为一种景观,安置在各种建筑物的适当地方作为装饰添加气氛,达到了广告宣传的作用。对实时动态效果的灯光进行实时控制的装置很多,如电脑编程多路彩灯控制器、CEC电脑彩灯控制器、EPROM程控编码彩灯控制器和计算机灯光控制系统。以上这些控制装置均运用计算机技术、电子信息技术和声光及无线技术,对被控灯光系统按设定的要求进行亮、灭灯等控制,形成了各种各样的灯光图案效果,有时还配以音乐和水幕喷射,营造了梦幻般的艺术特景效果,让人叹为观止。

1.1 课题背景

在经济和商业高度发达的今天,彩灯已经成为人民日常生活不可或缺的一部分,已经逐渐开始被越来越多的人们所关注,在家庭中的使用率不断提高,大大的丰富和改善了人民的生活。彩灯可由不同颜色色彩的LED 灯组成,通过控制不同颜色的灯的亮与灭时间顺序,呈现出不同的花型和图案,极大的丰富了乏味的现代都市夜景,让城市圈成为了多姿多彩的不夜城。此次设计主要是根据可编程逻辑器件,使用硬件描述语言VHDL,采用了“自顶而下”的设计思路,完成一个32路彩灯控制器的设计,并且使用Quartus II仿真软件来仿真结果。

VHDL(Very High Speed Integrated Circuit Hardware Description Language,超高速集成电路硬件描述语言)诞生于1982年,是由美国国防部牵头开发的一种快速设计电路的工具,目前已经成为IEEE(The Institute of Electrical and Electronics Engineers)的一种工业标准硬件描述语言。相比传统的电路系统的设计方法,VHDL具有多层次描述系统硬件功能的能

力,可支持自顶向下(Top to Down)和基于库(LibraryBased)的设计的特点,因此设计人员可不必了解硬件结构。从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用VHDL对电路的行为进行描述,并进行仿真和纠错,然后在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的CPLD器件中去,从而实现可编程的专用集成电路(ASIC)的设计。用VHDL语言进行数字逻辑电路和数字系统的设计,是电子电路设计方法上的一次革命性变革。与传统设计方法相比,与其它硬件设计方法相比,用VHDL进行工程设计的优点是多方面的:具有很强的行为描述能力,可支持大规模设计的分解和已有设计的再利用,可读性良好,便于发现错误及修改,使用其仿真器对VHDL源代码进行仿真允许设计者不依赖于器件,容易发现设计中出现的问题。实现了设计与工艺无关,可移植性较好,面向市场时间快,成本低,性价比和ASIC移植等优点。

1.2 研究目的和意义

如今随着时代和科技日异月新的发展和突破,彩灯作为一种景观应用越来越多,现实生活中,彩灯作为一种装饰和艺术渲染工具,起到很好的广告宣传作用,为人们增添了节日气氛,也为人们的生活增添了一道亮丽的色彩。

在电子电路设计领域中,电子设计自动化(EDA)工具已成为主要的设计手段。它的发展给电子系统的设计带来了革命性的变化,EDA软件设计工具,硬件描述语言,可编程逻辑器件(PLD)使得EDA技术的应用走向普及。现代生活中,彩灯已经成为必不可少的景观,本次设计本着与实际生活密切联系的原则,论述了使用VHDL设计32路彩灯控制器的过程。随着科学技术的发展以及人民生活水平的提高,VHDL是一种应用较为广泛的

HDL语言,能对范围广泛的各种复杂的网络在不同的抽象级加以描述,而且在整个设计过程中可使用同一种语言。采用VHDL作为HDL综合设计的优点有:标准语言,即设计者可在不同环境下进行设计;仿真和综合均可采用同一种语言进行;VHDL中提供的大量的资源模块,简化了设计者的开发工作;由VHDL描述的源文件既是程序文件又可作为设计的文档。VHDL 为设计提供了更大的灵活性,使程序具有更高的通用性。EDA技术使得复杂的电子系统的设计变的简单易行,提高了设计效率,同时也提高了设计的灵活性、可靠性和可扩展性。伴随着计算机技术和微电子信息技术的飞速发展,EDA技术在现代数字系统设计中必然将发挥越来越重要的作用,同时也为大学生更好地认识社会提供了很好的机会。

2 设计内容

基于可编程逻辑器件CPLD芯片,配以适当的外围电路,设计一种32路16种变换花样的彩灯控制器,能以快慢两种频率循环变化,有清零开关。

1、用VHDL语言设计系统程序,并进行仿真测试;

2、该系统具有16种花型变化,演示的16种花型自拟;

3、系统演示以快慢两种频率(频率为0.25S和0.5S)循环变化;

4、系统有清零开关;

5、并设计其外围电路。

2.1 功能描述

本次设计的32路彩灯控制系统设定有十六种花样变化,整个系统共有三个输入信号:控制彩灯节奏快慢的基准时钟信号CLK ,系统清零信号CLR,彩灯频率快慢输出选择控制信号CHOSE_KEY;共有32个输出信号

LED[31..0],分别用于控制32路彩灯。在电路中以1代表灯亮,以0代表灯灭,由0,1按不同的规律组合代表不同的灯光图案,同时选择不同的频率,从而实现了图案花样快慢功能的循环变化。在该电路中只需简单的修改程序就可以灵活地调整彩灯图案和变化方式。这十六种花样可以进行自动切换。

整个32路彩灯控制器分为两个模块部分,32路彩灯时序控制模块和32路彩灯显示控制模块。时序控制模块由振荡器、频率发生器和地址码产生器组成。其中振动器的功能是提供系统工作的主时钟。频率发生器的功能是提供快、慢两种频率脉冲PH、PL即,0.25S的脉冲信号和0.5S的脉冲信号,以此控制32路彩灯的快慢节奏变化,使彩灯明暗变换以快、慢两种频率自动交替运行。地址码产生器为频率发生器和(显示控制模块中的)编码电路提供控制信号,同步整个系统的工作,并根据系统运行情况,送频率产生器反馈信号,控制频率按快慢两种自动交替运行。

显示控制模块由编码发生器和驱动电路组成。显示控制模块的功能是使电路产生十六种不同花型并显示,以此实现本次课程设计要求实现的多路彩灯控制器的不同花型显示功能。其中编码发生器的功能是根据花型要求按频率输出32位状态编码信号,以控制彩灯按规律亮灭。驱动电路则提供彩灯工作所需的电压及电流, 隔离负载对编码电路的影响。

2.2 设计原理

用硬件描述语言VHDL进行设计,首先应该明确,VHDL语言作为一种全方位硬件描述语言 ,它包括了系统行为级,寄存传输级和逻辑门级多个设计层次。运用VHDL“自顶向下”的设计方法和层次化的设计概念来设计复杂的数字系统是十分有效地一种手段,它使得人们可以将复杂的单元转变成较简单的单元,从而逐渐构建成复杂而庞大的集成系统。

根据系统设计要求可知,整个系统的三个输入信号:控制彩灯节奏快

慢的基准时钟信号CLK,系统清零信号CLR,彩灯输出选择控制信号CHOSE_KEY;共有32个输出信号LED[31..0],分别用于控制32路彩灯。

我将整个彩灯控制电路分为了两大模块:时序控制电路模块SXKZ和显示控制电路模块XSKZ。32路彩灯控制系统工作原理如下:时序控制电路模块SXKZ根据输入信号CLK,产生的符合要求的、供显示控制电路模块XSKZ使用的控制时钟信号,而显示控制电路模块XSKZ则根据时序控制电路模块SXKZ输入的控制时钟信号,输出十六种花型循环变化,这些控制信号加上驱动电路一起控制彩灯工作。

首先应对系统进行模块的划分,规定每一模块的功能以及各个模块之间的接口。整个32路彩灯控制系统设计的结构框图如下所示。

图1. 32路彩灯控制结构框图

3模块设计及其功能

3.1 模块功能描述

时序控制模块的组成模块:振荡器、频率发生器、地址码产生器。时序控制模块的时钟信号CLK由外部输入至频率发生器,频率发生器产生由快慢两种脉冲合成输入频率信号到地址码产生器,再由地址码产生器输出选择控制信号CHOSE_KEY到频率发生器,控制快慢频率的转换。地址码产生器为频率发生器和编码电路提供控制信号,同步整个系统的工作,地址码产生器输出信号控制编码电路的各个子模块交替工作,以高、低电平控制彩灯的亮灭,按频率改变送给各路的高、低电平,即l、0 编码,产生16种不同花型,再由驱动电路将信号输出到彩灯。CLR为清零信号,由外部

输入到地址码产生器, CLR=1时,系统回到等待状态;CLR=0时,系统工作。

显示控制模块的组成模块:编码发生器和驱动电路。编码发生器:根据花型要求按频率输出32位状态编码信号,以控制彩灯按规律亮灭。驱动电路提供彩灯工作所需的电压及电流,隔离负载对系统工作的影响。3.2 时序控制电路设计

时序控制模块是本程序的时钟信号选择模块,它的功能是为系统提供快、慢两种频率脉冲,快频率0.25 秒、慢频率0.5 秒,以此控制32路彩灯的快慢节奏变化。时序控制模块在本电路中起着至关重要的作用,它以彩灯闪动快慢节奏的变化实现了多路彩灯绚丽多彩的花型节奏变化。时序控制电路是整个电路中一个分模块,它的设计对32路彩灯控制器设计的顺利完成起着决定性的作用。

快频率由振荡器直接传入,慢频率将振荡器输出的频率进行二分频得到。频率产生器输出频率脉冲clkout 送地址码产生器。频率选择信号chose_key 由地址码产生器产生,输入到频率发生器,控制快慢两种脉冲的选择,若第一次chose_key为低电平,则花型循环输出为慢频率,第二次chose_key变为高电平,花型循环输出为快频率,第三次chose_key又变为低电平,如此循环反复。

3.2.1振荡器

振荡器提供系统工作的主时钟。因彩灯控制器对定时要求不高,故选用简单易行的555 定时振荡器。系统彩灯明暗变换节拍为0.25 秒和0.5 秒,电路原理图如图2 所示。555定时器构成的多谐振荡器由3脚输出脉冲频率。

我们使振荡器振荡频率为f = 4Hz,图中电阻R1=8K,Rw=4.7K,R2=47K,电容C1=3.3 uF,振荡频率输出端OUT 送“频率产生器”的输入端。

图2. 振荡器原理图

3.2.2频率发生器

频率发生器为系统提供快、慢两种频率脉冲,快频率0.25 秒、慢频率0.5 秒。快频率直接由振荡器产生的频率传入,慢频率由快频率进行二分频得到。频率产生器输出频率脉冲clkout送地址码产生器。频率选择信号chose_key由地址码产生器产生,输入到频率发生器,控制快慢两种脉冲的选择。当chose_key 为低电平,则花型循环输出为慢频率,当chose_key 变为高电平,花型循环输出为快频率。以此类推,高低电平循环变化,从而达到快慢频率交替循环。

该模块 VHDL 程序如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity pinlv is --频率产生器

port ( clk: in std_logic; --传入分频器频率

chose_key : in std_logic; --频率选择信号

clkout : out std_logic); --输出频率

end entity pinlv;

architecture one of pinlv is

signal cnt: std_logic;

signal cllk: std_logic;

begin

f1: process(clk,chose_key,cnt,cllk) -- 将clk 时钟二分频,得到频率为0.5 秒的慢频率

begin

if clk'event and clk = '1'then

cnt <= not cnt;

end if;

if chose_key='1' then

cllk<=clk;

else

cllk<=cnt;

end if;

clkout<=cllk;

end process;

end;

图3. 频率发生器模块器件图

3.2.3地址码产生器

地址码产生器为频率发生器和编码电路提供控制信号,是整个系统重要组成部分。该部分电路组成部分为地址计数器和cnt信号分频控制。其中地址计数器利用进程P1: process(clkout,clr),根据频率产生器提供的频

率频clkout 产生地址码,完成地址累加,实现预定花型的循环显示。清零信号clr由外部输入到地址码产生器,当clr =1时,系统回到等待状态; clr=0时,系统工作。cnt信号记录系统运行的情况,32路彩灯控制器运行16种花型,花型循环一周共需272次,地址计数器将地址码累加到272,cnt 值为‘1’;地址码为其它值时,cnt 值为‘0’,利用进程P2:process( cnt )将cnt 信号进行二分频,使输出花型在第一次循环时,若频率选择信号chose_key 为低电平,则第二次花型循环时,chose_key为高电平,第三次花型循环,chose_key又为低电平,如此循环反复。地址码产生器产生的频率控制信号chose_key输入频率发生器,用来控制快慢两种脉冲频率。

该模块VHDL 程序如下

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity dzmcsq is --地址码产生器;

port ( clkout: in std_logic; --频率脉冲信号,由频率产生器传入

clr:in std_logic;

chose_key: out std_logic;--频率选择信号,送频率产生器

dout : out integer range 0 to 272);-- 地址码输出,16种花型运行一次272次

end entity dzmcsq;

architecture one of dzmcsq is

signal count: integer range 0 to 272;

signal cnt: std_logic;

begin

P1: process(clkout,clr) --产生地址码

if clr='1'then

count<=0;

elsif count=272 then

count <= 0;

cnt <= '1'; --16 种花型运行一次共272 次

elsif clkout'event and clkout='1' then

count <= count + 1;

cnt <= '0';

end if;

end process;

P2:process( cnt ) --将cnt 信号进行二分频,产生频率选择信号chose_key 值

variable count1 : std_logic;

begin

if cnt'event and cnt = '1'then

count1 :=not count1;

end if;

if count1 = '1' then

chose_key<= '1';

else

chose_key<= '0';

end if;

end process;

dout <= count;

图4. 地址码产生器模块器件图

3.3 显示控制电路设计

显示控制电路的模块框图如图所示,输入信号CLK和CLR的定义与时序控制电路一样,输入信号led[31...0]能够循环输出32路彩灯16种不同状态的花型。对状态的所对应的彩灯输出花型定义如下:

图5. 地址码产生器模块器件图

32路彩灯在多种花型之间的转换可以通过频率的改变而实现,当复位信号CLR有效时,彩灯恢复初始状态s0,否则,每个时钟周期,状态都将向下一个状态发生改变,并对应输出的花型,这里的时钟周期即时时序控制电路模块产生的输出信号,它根据CHOSE_KEY信号的不同得到两种快慢不同的时钟频率。

3.3.1编码发生器

编码电路根据花型要求按频率输出32位状态编码信号, 以控制彩灯按规律亮灭。地址码产生器将输出的控制信号送入编码发生器,编码发生

器根据高、低电平控制灯的亮灭,即l、0 编码,共产生16种花型。

该模块 VHDL 程序如下

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity bmfsq is

port ( dout: in integer range 0 to 272;

qout : out std_logic_vector(31 downto 0));-- 输出32 位状态编码

end entity bmfsq;

architecture one of bmfsq is

begin

process(dout)

begin

case dout is

When 0 => qout <= ""; --S1

when 1 => qout <= "";

when 2 => qout <= "";

when 3 => qout <= "";

when 4 => qout <= "";

when 5=> qout <= "";

when 6 => qout <= "";

when 7 => qout <= "";

when 8 => qout <= "";

when 9 => qout <= "";

when 10 => qout <= "";

When 12 => qout <= "";

When 13 => qout <= "";

When 14 => qout <= "";

When 15 => qout <= "";

When 16 => qout <= "";

when 17 => qout <= ""; --S2 when 18 => qout <= "";

when 19 => qout <= "";

when 20 => qout <= "";

when 21 => qout <= "";

when 22 => qout <= "";

when 23 => qout <= "";

when 24 => qout <= "";

when 25 => qout <= "";

when 26 => qout <= "";

when 27 => qout <= "";

when 28 => qout <= "";

when 29 => qout <= "";

when 30 => qout <= "";

when 31 => qout <= "";

When 32 => qout <= "";

when 33 => qout <= "";

when 34 => qout <= ""; --S3

When 36 => qout <= "";

when 37 => qout <= "";

when 38 => qout <= "";

when 39 => qout <= "";

when 40 => qout <= "";

when 41 => qout <= "";

when 42 => qout <= "";

when 43 => qout <= "";

when 44 => qout <= "";

when 45 => qout <= "";

when 46 => qout <= "";

when 47 => qout <= "";

when 48 => qout <= "";

When 49 => qout <= "";

when 50 => qout <= "";

when 51 => qout <= ""; --S4 when 52 => qout <= "";

when 53 => qout <= "";

when 54 => qout <= "";

when 55 => qout <= "";

when 56 => qout <= "";

when 57 => qout <= "";

when 58 => qout <= "";

when 59 => qout <= "";

(完整版)华北理工大学本科毕业设计说明书格式示例

学号:[单击此处键入] 毕业设计说明书 G RADUATE D ESIGN 设计题目:[单击此处键入设计中文题名] —[单击此处继续键入副题名或删除此提示]学生姓名:[单击此处键入] 专业班级:[单击此处键入] 学院:[单击此处键入] 指导教师:[导师姓名][职称]

[副导师姓名][职称]XXXX年XX月XX日

摘要 随着互联网在国际上迅猛的发展,基于互联网的各种应用也日益受到人们的重视,特别是现代远程教育得到了巨大的发展。基于Web的考试系统正是在这种形势下应运而生的。尽管传统的考试形式应用还非常普遍,但伴随着远程教学的推广普及,作为远程教学系统子系统的在线考试系统呼之欲出。 …… (中文摘要约300汉字) 关键词考试系统;自动组卷;题库;遗传算法

Abstract With the rapid development of Internet in the international, more and more web-based applications were increasingly subject to people's attention in recent years, and the modern distance education tremendous development. The web-based examination system is emerged in such a situation. Although the traditional form of examination is also very common, with the expansion and universality of distance learning, the online examination system come into being as a subsystem of distance learning system. Automatic Test Paper is a crucial part in the examination system. With the rapid development of artificial intelligence, this issue a growing number of scientists concerned. Automatic Test Paper is the real follow a strategy of topics, and select a group of questions from the questions, makes them all the properties are within a certain range by volume to meet the expectations index. The core of the problem is multi-target strategy of choice. The design of database is also a very important part in the examination system, and the design of database is good or bad will directly affect the effectiveness of automatic Test Paper. This paper designs and implements an on-line examination system, and its functions include on-line examinations, test management and maintenance, automatic Test Paper, Grade examination papers and grade point, seeing results and information management features such as students etc. It focuses on the automatic Test Paper algorithm and the

毕业设计说明书模版

毕业设计 ( 2010 届) 题目星光家园多层住宅 学院土木水利学院 专业土木工程专业 年级 2006级 学生学号 学生姓名 指导教师马彩霞 2009年 12 月1 日

摘要 [单击此处键入中文摘要内容] 字数约为200-400字,一般不超过600字 中文摘要是正文内容不加注释和评论的简短陈述。摘要的编写应遵循下列原则:1)摘要应具有独立性和自含性,是文章的缩影,是设计说明书的主要内容、见解、结论简短明了的缩写。2)摘要中要有数据、有结论,是一片完整的短文,可以独立使 用,可以引用。3)摘要内容应尽可能包括原文章的主要信息,供读者确定有无必要阅读全文,也供文摘汇编等二次文献采用。4)摘要一般应说明设计工作的目的意义、研究方法、研究结果、主要结论及意义、创造性成果和新见解,而重点是结果和结论。 5)要用文字表达,不要附图和照片,除了实在无变通办法可用以外,摘要中不用图、表、化学结构式、非公知公用的符号和术语,不要使用表格、公式、上下标以及其他特殊符号,要突出重点,阐述清楚,少用数据表。 摘要的用语力求简洁、准确。。用完后删除此框。 关键词是为了文献标引工作从论文中选取出来用以表示全文主题内容信息款目的 单词或术语。如有可能,应尽量用《汉语主题词表》等词表提供的规范词。不用 此信息时,删除此框。 关键词:[单击此处键入中文关键词(自定义3-5个)]

[单击此处键入英文设计题目] Abstract [单击此处键入英文摘要,内容应当与中文摘要相同] Key Words:[单击此处键入英文关键词(自定义3-5个),内容应当与中文相同]

目录 摘要 (1) Abstract (3) 设计任务书 (1) 文献综述 (10) 开题报告 (11) 外文文献翻译 (12) 设计计算书正文 (18) 1.总说明 (7) 1.1. 自然地理、气候条件 (7) 1.2. 工程地质和水文条件 (7) 2.建筑设计说明……………………………………………………………………… 2.1……………………………………………………………………………… 2.2……………………………………………………………………………… . 3.结构设计………………………………………………………………………………3.1结构设计说明………………………………………………………………………3.2重力荷载计算………………………………………………………………………3.3内力和侧移计算……………………………………………………………………3.3.1框架抗侧移刚度计算……………………………………………………………3.3.2水平地震作用下框架内力和侧移计算…………………………………………3.2.3竖向荷载作用下框架内力计算…………………………………………………3.4内力组合……………………………………………………………………………3. 4.1框架梁内力组合…………………………………………………………………3.4.2框架柱内力组合…………………………………………………………………

(完整版)毕业设计说明书范文

(完整版)毕业设计说明书范文 目录 第一章前言........................................................................................................................... ...................第二章工程概述........................................................................................................................... .......... 2.1 设计概述........................................................................................................................... ................. 2.2 沈阳万科柏翠园2#楼电气系统设计 ........................................................................................ 2.2.1 380220V低压供配电系统 ........................................................................................................ 2.2.2 照明系统 .......................................................................................................................... ............. 2.2.3 防雷与接地系统 ......................................................................................................................... 2.2.4 等电位连接........................................................................................................................... ........ 2.2.5 电话系统 .......................................................................................................................... ............. 2.2.6 有线电视系统........................................................................................................................... ... 2.2.7 火灾自动报警系

毕业设计说明书

毕业设计说明书 毕业设计的说明书就是对毕业设计论文写作的一些要求! 下面是为大家收集的关于毕业设计说明书,欢迎大家阅读! 毕业设计说明书一 XXXXX(论文题目,居中,宋体四号) 摘要:(对整个论文进行概述性的介绍,宋体五号,单倍行距) 关键字:(选择论文中的关键词语,宋体五号,单倍行距) Abstract:(摘要的英文翻译,五号,单倍行距) Keywords:(关键字的英文翻译,五号,单倍行距) 前言:(主要介绍论文的选题,阐明选题的背景和选题的意义,结合问题背景进行阐述,使读者感受到此选题确有实用价值,确有研究或开发的必要性等。宋体五号,单倍行距) 一、系统概述及开发工具(宋体四号,粗体) 1. 系统概述(宋体小四,粗体) (对系统进行简单介绍,宋体五号,单倍行距) 2. 开发工具(宋体小四,粗体) (对系统开发工具进行介绍,宋体五号,单倍行距) 二、系统分析(宋体四号,粗体)

(分析系统主要工作和功能,画出系统功能模块图,宋体五号,单倍行距) 三、系统设计(宋体四号,粗体) 1. 后台数据库设计(宋体小四,粗体) (叙述部分用宋体五号,单倍行距) (数据表结构举例如下) 表1 管理员信息表 2.程序设计(宋体小四,粗体) (叙述部分用宋体五号,单倍行距) 四、使用说明(宋体四号,粗体) (介绍系统的使用方法叙述部分用宋体五号,单倍行距) 注: 1.该格式应由指导教师先进行理解,大体书写格式与本格式一致,但具体撰写细节(如网络类课题)由指导教师自行设计。 2.毕业设计说明书部分每一页均应设置页眉和页脚。 页眉:无 锡职业技术学院 毕业设计 (论文) 说明书 页脚:标明页码(毕业设计任务书为页,毕业设计(论文)说明书从第2页开始编码) 3.论文中出现的图、表(包括功能模块图、系统界面图等)都要进行编号,如: (1)图例

毕业设计说明书格式要求及模板

毕业设计说明书格式要求及模板 一、主要框架和基本要求 毕业设计说明书由前置部分、主体部分和后置部分三大部分组成。前置部分由封面、摘要、关键字和目录四部分组成,主体部分由绪论(引言)、正文、结论三大部分组成,后置部分由参考文献、致和附录三大部分组成。 1、毕业设计说明书的前置部分 (1)封面。封面是毕业设计的门面,提供毕业设计说明书的相应信息。一般应包括以下四项容。 标题:标题又称题目,是以最恰当、最简明的词语反映毕业设计中最重要特定容的逻辑组合,标题的字数一般不超过20个字。 类别:标明毕业设计的类别,如产品设计、工艺设计、方案设计等。 署名:标明毕业设计说明书作者及指导教师。 专业名称:标明毕业设计说明书作者主修专业名称。 使用学校统一封面,样式根据学校具体要求,封面上的所有有关信息填写准确、完整、清晰。 (2)摘要。摘要是毕业设计说明书不加注释和评论的简短述,应以第三人称述。摘要应具有独立性和自含性,即不阅读设计说明书的全文,就能获得必要的信息,摘要的容应包含与设计等量的主要信息,供读者确定有无必要阅读全文,也供文摘等二次文献采用。 摘要一般应说明毕业设计的工作目的、实验方法、结果和最终结论等,而重点是结果和结论。摘要中一般不用图、表、化学结构式、计算机程序,也不用非公知公用的符号、术语和非法定的计量单位。 摘要页置于中文题名页后,中文摘要一般为300汉字左右,摘要应包括关键词。 (3)关键词。关键词是为了文献标引工作而从毕业设计说明书中选取出来用以表示全文主题容的单词或术语。一般选取3~5个词作为关键词,关键词位于摘要下方,另起一行,左对齐,关键词间用分号分隔,最后一个词后不打标点符号,如有可能,尽量用《汉语主题词表》等词表提供的规词。 (4)目录。目录即毕业设计说明书的提纲,由毕业设计说明书的章(一级标题)、节(二级标题)、小节(三级标题)、参考文献、致、附录等的序号、名称和页码组成。另起一页排在摘要页之后,章、节、小节分别按相应要求标出,用“……”联系名称与页码。

(完整版)大学毕业设计说明书

2013届毕业设计说明书 基于EDA技术设计的32路彩灯控制器 系、部:电气与信息工程学院 学生姓名: 学号: 指导教师: 职称: 专业:电子信息工程 班级: 完成时间:2013年5月20日

摘要 随着科学技术的发展,在现代生活中,节日彩灯作为一种景观装饰被应用的领域越来越广泛。随着电子技术的发展,应用系统向着小型化、快速化、大容量、重量轻的方向发展,EDA技术的应用引起电子产品及系统开发的革命性变革。VHDL语言作为可编程逻辑器件的标准语言描述能力强,覆盖面广,抽象能力强,在实际应用中越来越广泛,也使人们可以从繁重的设计工作中解脱出来,提高设计效率,缩短产品研发周期。整个过程通过EDA工具自动完成,大大减轻了设计人员的工作强度,提高了设计质量,减少了出错的机会。 此次设计一个彩灯控制器,可以实现十六种不同花型的变化。用分频器来控制实现调速按钮,高电平变化快,低电平变化慢,还要配备清零按钮。根据上述的情况来分配任务,将本次设计的主控电路分为两个模块,分别为时序控制电路模块和显示模块。时序控制电路是根据输入信号的设置得到相应的输出信号,并且将此信号作为显示电路的时钟信号;显示电路输入时钟信号的周期有规律的输出设定的十六种不同彩灯变化花型。整个系统共有三个输入信号:控制彩灯节奏快慢的基准时钟信号CLK,系统清零信号CLR,彩灯频率输出选择控制信号CHOSE_KEY;共有32个输出信号LED[31..0],分别用于控制32路彩灯。 本文阐述了基于EDA技术的多路彩灯控制器的设计与分析,并在Quartus II 环境下采用VHDL语言实现,也得出了采用CPLD进行产品开发可以灵活地进行模块配置,大大缩短了产品开发周期,也有利于产品向小型化,集成化的方向发展。论述了基于VHDL语言和CPLD芯片的数字系统设计思想和实现过程。 关键词:彩灯控制器;VHDL;EDA

毕业设计软件说明书

毕业设计软件说明书 【篇一:毕业设计-软件使用说明书】 系统使用说明书 目录 一、服务器搭建和系统安装 (2) 1.1服务器要 求 ....................................................................................................... (2) 1.2服务器软件要 求 (2) 1.3系统的安 装 ....................................................................................................... (2) 二、系统打开和功能说 明 (5) 2.1 登录模块说 明 (5) 2.2 管理员用户功能模 块 (7) 2.2.1 管理员用户信息管理模 块 (7) 2.2.2 管理员论文查询功能模 块 (8) 2.2.3 管理员用户查询功能模 块 (8) 2.2.4 管理员用户用户管理功能模 块 (8) 2.2.5 管理员回复反馈功能模 块 (9) 2.3 部门助理用户功能模 块 (10)

2.3.1 部门助理用户信息管理模 块 (10) 2.3.2 部门助理用户审核功能模 块 (10) 2.3.3 部门助理论文查询功能模 块 (11) 2.3.4 部门助理公告管理功能模 块 (11) 2.4 普通用户功能模 块 (13) 2.4.1 普通用户信息管理功能模 块 (13) 时还可以将论文作为附件上传到系统 中 (14) 2.4.3 普通用户公告和反馈功能模 块 (14) 三、系统使用注意事项和安全性措施 (16) 一、服务器搭建和系统安装 1.1服务器要求 对于本次设计的系统,由于其数据量较大,用户较多,所以对于服 务器的硬件有一定的要求,由于多用户的同时操作,所以cpu和内 存都有较高的标准,这里我推荐使用 e5400 2.76ghz双核处理器以上cpu,内存建议大于2g,由于论文上传存储和大量的数据存储,硬盘推荐10g以上。因为系统是jsp 写的,所以对于服务器端得系统没有什么特殊要求,可以为linux也 可以为windows sever2003.这里为了便于测试和优化,我推荐使用windows sever2003.数据用mysql。2m以上独立带宽。 1.2服务器软件要求 服务器端首先需要安装好相应的系统,这里我推荐的是sever2003,其次是根据需求下载好数据库程序,这里我选择的是mysql,所以 我们需要先下载好mysql的安装文件。其实就是系统环境,因为我 的程序是基于java写的,所以必须要有java的支持文件jdk文件, 这个可以在java的官网上下载。然后就是平台程序,即展示系统的

天津理工大学本科毕业设计说明书

天津理工大学本科毕业设计说明书(毕业论文)撰写规范 一、毕业设计说明书(毕业论文)装订顺序及要求: 1、封面; 2、选题审批表; 3、任务书; 4、开题报告; 5、中英文摘要及关键词; 6、目录; 7、正文(符合学校撰写规范要求); 8、参考文献; 9、附录; 10、谢辞。 如有外文资料和中文译文可放置在谢辞之后进行装订。 毕业设计说明书和毕业论文一律采用单面打印。纸张大小为A4复印纸,页边距采用:上2.5cm、下2.0cm、左2.8cm、右1.2cm。无特殊要求的汉字采用小四号宋体字,行间距为1.25倍行距。页眉从正文开始,一律设为“天津理工大学××××届本科毕业设计说明书(毕业论文)”,采用宋体五号字居中书写。页码从正文开始按阿拉伯数字(宋体小五号)连续编排,居中书写。 二、内容要求及编写格式 1.封面 采用教务处统一制定的封面。 2.选题审批表 采用教务处统一制定的表格。 3.任务书 毕业设计(论文)题目要醒目,力求简短,严格控制在36字(含36)以内,用三号 字、加黑、黑体字;任务书封皮除题目外,用三号字、加黑、宋体字,其它页用小四号 宋体字。日期采用阿拉伯数字。 4.开题报告 正文内容包括:课题的意义,国内外发展状况,本课题的研究内容、研究方法、研 究手段和研究步骤以及参考文献资料等,用小四号宋体字。 5.标题/摘要 内容包括中文标题、中文摘要与关键词、英文标题、英文摘要与关键词。毕业设计

(论文)标题应是以最恰当、最简明、最概括的词语反映论文中最重要的特定内容的逻辑组合,应避免使用不常见的缩略词、首字母缩写字、字符、代号和公式等。摘要是毕业设计(论文)内容不加注释和评论的简短陈述,它具有独立性和自含性,即不阅读设计说明书(论文)全文,就能获得必要的信息。 标题字数一般不超过36个汉字,用黑体二号打印。两人或两人以上共同完成的课题,一般应根据本人完成的内容设置副标题,副标题用楷体三号空一行打印。标题后空一行,黑体三号居中打印“摘要”两字,另起一行楷体四号打印中文摘要全文,行距固定值20磅,中文摘要一般在300-800字以内。空一行黑体三号打印“关键词”并加冒号,随后用楷体四号打出中文关键词3-8个。空两行后,打印英文标题,空一行打印英文副标题。空一行,居中三号加黑打印“ABSTRACT”,另起一行四号打印英文摘要,行距固定值20磅。空一行,加黑三号打印“Key Words”并加冒号,打印英文关键词,每个关键词第一个字母应大写。英文全部采用Times New Roman。 6.目录 页首三号黑体字居中打印“目录”,“目”与“录”之间空四格。隔两行打印章、节、小节号、章、节、小节名称及相应页码。章、节、小节号分别用第一章、1.1、1.1.1方式表示,章名用黑体四号字,节、小节名用小四号宋体字,章、节、小节名与页码间用多个“……”符连接。 7.正文 一般由标题、文字段落、图、表和式五个部分构成。由于研究工作涉及的学科、选题、研究方法、工作进程、结果表达方式等有很大差异,难以规定统一的内容形式。但是,必须合乎逻辑,层次分明。内容包括以下部分: ⑴引言或绪论 介绍选题的理由、课题主要解决的问题,凡两人或两人以上合作承担的课题,必须说明本人在总课题中独立承担的任务以及该部分内容在总课题中所起的作用,说明研究问题采用的手段、方法,简述研究成果及其意义。 ⑵内容 包括方案论证、设计计算、实验过程与分析、方案校验等。要求层次清楚,重点突出,文字简明通顺。小节内的小标题序号用1、2、3……,小标题用黑体字单列一行,小标题内序号用⑴、⑵、⑶……,其余层次序号依次用A、B、C……,a、b、c……。正文中引用参考文献应在引用处所加[ ]号内注明文献号码。视具体情况,将参考文献序号作为上角标,或作为文字段落的组成部分。如“某某人对此作了研究[1,3,7~9]或数学模型见文献[1,5,9~12]”等。内容字体字号规定见示例。 ⑶结论 对本人所做工作进行归纳和综合,得到设计或研究的结论。与已有结果进行比较,

毕业设计(说明书)规范 字体格式

车辆工程专业课程设计、毕业设计(论文)规范 一、设计说明书(论文)的结构及要求 设计说明书(论文)包括:封面、中文摘要、英文摘要、关键词、目录、正文、致谢、参考文献及附录八部分。 1、封面 按统一格式完成或由学校统一印制,按要求填写。题目一般不超过25个字,要简练准确,可分二行书写。 2、中(英)文摘要及关键词 中文摘要在前,“摘要”字样位置居中,字数应在400字左右;关键词一般3至5个,以显著字符另起一行,排在摘要正文部分左下方。英文摘要与中文摘要内容要一致。 3、目录 按三级标题编写,要求层次清晰,且要与正文标题一致,主要包括绪论、正文主要层次标题、结论、致谢、参考文献、附录等。 4、正文 正文包括绪论(或前言、概述等)、主体、结论。工科论文要求符合科技论文格式,正文要标明章节,图表和公式要按章编号,公式应另起一行书写,并按章编号。 (1)绪论:简要说明工作的目的、意义、范围、研究设想、方法、选题依据等,应当言简意赅,不要与摘要雷同。 (2)主体:主体是设计说明书(论文)的核心部份,课程设计说明书应在6000字以上,毕业设计说明书(论文)字数应在10000-12000字,包括:设计(实验)方案的论证,设计(实验)方法手段与结果,仪器设备,原始材料,计算方法,编程原理,数据处理,设计(论文)说明与依据,加工整理和图表,形成论点和导出的结论等。 (3)结论:设计(论文)的结论应当准确、完整、明确精炼。但也可在结论或讨论中提出建议、设想和尚待解决问题等。 5、致谢

该部分要简单地表述作者在结束设计(论文)后的一些收获和感想,并向在设计(论文)工作过程中给予自己指导和帮助的老师及同学表示感谢。 6、参考文献 必须是学生本人真正阅读过的图书或者科技论文,内容要与设计(论文)工作直接相关。 参考文献要按照引用的顺序列出。 文献是期刊时,书写格式为: 作者,文章题目,期刊名,年份,卷号,期数,引用内容所在页码; 文献是图书时,书写格式为: 作者,书名,出版单位,年月,论文在刊物中页码。 7、附录 附录部分主要包括重要的原始数据、数学推导、程序清单、框图、结构图、流程图、零件图和装配图,如果安排有毕业实习,须提供毕业实习报告等。 二、设计说明书(论文)的书写规范与打印要求 1、论文书写 论文(设计说明书)要求统一使用Microsoft Word软件进行文字处理,统一采用A4页面(210×297㎜)复印纸,单面打印。其中上边距30㎜、下边距30㎜、左边距30㎜、右边距20㎜、页眉15㎜、页脚15㎜。字间距为标准,行间距为1.5倍。 页眉内容统一为“沈阳理工大学应用技术学院课程设计”或“沈阳理工大学应用技术学院毕业设计(论文)”,采用宋体五号字居中排写。 页码在下边线下居中放置,Times New Roman小五号字体。 论文(设计说明书)通篇以一个文档编排,封面、中英文摘要及目录、正文分三节编排,封面不加页眉和页码,摘要、关键词、目录等文前部分的页码用罗马数字(Ⅰ、Ⅱ……)编排,正文以后的页码用阿拉伯数字(1、2……)编排。 字体和字号要求: 论文题目用二号(分两行书写时用小二号)黑体字。 第一层次(章)题序和标题用三号黑体字,题序和标题之间空两个字,不加标点,

毕业论文(设计)说明书模版

设计(论文)题目 摘要 2006年5月我校对已经实施的“陕西科技大学本科毕业生毕业论文(设计说明书)的书写及装订格式"进行修订。修订后的《陕西科技大学本科毕业生毕业论文(设计说明书)的书写及装订格式》使本科毕业生撰写毕业论文(设计说明书)时,在论文(设计说明书)的结构、装订、摘要、图表和参考文献等各方面有统一的标准,供学生和指导教师参考使用. 为了更好的说明格式要求,这里做了一个陕西科技大学本科毕业论文(设计说明书)的模版,请参照使用。 关键词:陕西科技大学,本科生

I Title ABSTRACT This paper…… …… KEY WORDS: Shaanxi University of Science &Technology,undergraduate

目录 摘要 (Ⅰ) ABSTRACT (Ⅱ) 1 本科毕业论文(设计说明书)各部分的装订顺序 (1) 1。1 封面 (1) 1.2 毕业设计(论文)任务书 (1) 1。3 中文摘要和关键词 (1) 1.4 英文摘要和关键词 (1) 1.5 目录 (1) 1.6 正文 (1) 1.7 致谢 (2) 1。8。参考文献 (2) 1.9 附录 (2) 2 本科毕业论文(设计说明书)的字数及页面设置 (3) 2.1 本科毕业论文(设计说明书)的字数及基本要求 (3) 2.2 毕业论文(设计说明书)的版面要求 (3) 2。2。1 页边距的设置 (3) 2.2。2 纸张的设置 (3) 2。2.3 版式 (3) 2.2.4 文档网格的设置 (4) 2。3 毕业论文(设计说明书)设有页眉及页码 (4) 3 毕业论文(设计说明书)打印、排版规范 (5) 3.1 中文摘要及关键词 (5) 3.2 英文摘要及关键词 (5) 3。3 目录 (5) 3.4 正文 (5) 3.4。1 正文中其他部分说明 (6) 3。5 致谢 (6) 3。6 参考文献 (6) 3.6。1 参考文献的基本要求 (6) 3.6.2 各类参考文献条目的编排格式及示例 (6) 3。7 附录 (8) 致谢 (9)

毕业设计说明范文(艺术类).

XXX 大 学 (空一格,行距:单倍行距) (空四格,行距:单倍行距) 题 目: 女性时尚高跟鞋系列设计 学 院: 美术学院 专业、年级: 艺术设计专业2007级 3 班(产品造型方向) 姓 名: 学 号: 指 导 教师: 职称: 完 成 时间: 年 月 日

声明 本人声明所呈交的设计作品及论文是本人在指导教师指导下进行的研究工作及取得的研究成果。据我所知,除了文中特别加以标注和致谢的地方外,论文中不包含其他人已经发表或撰写过的研究成果,也不包含为获得成都大学或其他教育机构的学位或证书而使用过的材料。与我一同工作的同志对本研究所做的任何贡献均已在论文中作了明确说明并表示谢意。 本设计及论文成果是本人在成都大学读书期间在指导教师指导下取得的,设计及论文成果归成都大学所有,特此声明。 学生签名: 指导教师签名:

具、装饰物品或日常生活用品都带有色彩。因此要对他们进行统一,使他们能在同一色调上进行细微的变化,达到和谐的效果。色彩的运用会受到人的年龄、性别等各种因素的影响,而人的心理感受及联想与色彩的关系问题也是息息相关的。色彩还能造成不同的空间感,每个房间都不可能单独存在一种色调,不同的区域对色彩的要求也不一样。房间布置时应选择适合的“快乐”色彩,会有助于下班回到家里后松弛紧张的神经,觉客厅、卧室,有时也会因居住者秉性不同而有差异。 (空一格) :色彩;空间;心理;关系

the color utilization (空一行) room places the furniture, the decoration goods or the daily life thing all have the color. Therefore must carry on the unification to them, enables them to carry on the slight change in the identical tone, achieves the harmonious effect. The color utilization can receive human's age, the sex and so on each kind of factor influence, but human's psychological feeling and the association and the color relational question also is closely linked.The color also can create the different sense of space, each room is all impossible alone to have one kind of tone, the different region is dissimilar to the color request. When room arrangement should choose suitably “joyful” the color, can be helpful after gets off work gets in the home to relax the tense nerve, thought the relaxation is comfortable.The different room function is different, the color should not be same; Is the same function room, like is similarly the living difference differently. (空一格) Color;Space;

毕业设计说明书格式及内容要求

毕业设计说明书格式及内容要求

毕业设计说明书(论文)格式及内容要求 毕业设计(论文)教学过程是实现本科培养目标要求的重要培养阶段,在本科四年教育中占有非常重要的地位。毕业设计(论文)为学生综合运用学科基础知识和技能,独立完成一项给定的设计(论文)任务,检验自身综合素质与工程实践能力,培养严谨科学的工作作风。本着这个原则,特制定以下细则。 一、毕业设计(论文)任务量的要求 1、工程设计类 侧重于设计、计算与绘图能力的培养和工程基本训练。要求设计说明书的字数一般为1万字以上,工程绘图量不少于折合成图幅为A0号的图纸3张,查阅文献10篇以上,有英文题目和摘要,并应用计算机进行设计、计算与绘图。 2、技术研究类 侧重于实验、测试能力的培养和科学研究方法的基本训练。要求实验、测试报告或生产、模拟性试验报告及论文正文的撰写字数一般为2万字以上,工程绘图量不少于折合成图幅为A0号的图纸1张,查阅文献10篇以上,有英文题目和摘要,并应用计算机进行实验数据的处理和实验结果的分析。 3、软件工程类 侧重于软件开发能力的培养。要求设计说明书字数一般为1万字以上,查阅文献10篇以上,有英文题目和摘要。软件工程文档应包括:有效程序软盘和源程序清单、软件设计说明书、软件使用说明书、软件测试分析报告、项目开发总结。在学生答辩前各系部应组织软件验收。 4、论文类 侧重于实际工程设计、规划、生产系统评价和创新等能力的培养。要求论文字数一般为3万字左右,参阅文献20篇以上,有英文题目和摘要。 二、毕业设计说明书、论文的撰写要求 (一)毕业设计说明书、毕业论文撰写内容与要求 按设计任务书要求、“西华大学毕业设计(论文)说明书规范”和“西华大学毕业设计说明书范本”要求,编写设计说明书。一份完整的毕业设计说明书、毕业论文应包括:标题、摘要、目录、前言、正文、结论、总结与体会、谢辞、参考文献、附录等。 1、标题 要求简洁、确切、鲜明。字数一般不宜超过20个字。如有些细节必须放进标题,为避免冗长,可以分为主标题和副标题,主标题写得简明,将细节放在副标题中。

第八届全国高校bim毕业设计创新大赛获奖队伍毕业设计说明书

第八届全国高校bim毕业设计创新大赛获奖 队伍毕业设计说明书 第八届全国高校BIM毕业设计创新大赛获奖队伍毕业设计说明书 一、背景介绍: 第八届全国高校BIM毕业设计创新大赛是面向全国高校BIM专业学生 的大型竞赛活动,旨在鼓励大学生通过毕业设计的方式来展现自己在BIM领域的才华和创新思维。 二、获奖队伍介绍: 我们获得了第八届全国高校BIM毕业设计创新大赛的一等奖,由浙江 工业大学XXX团队完成,团队成员包括XXX、XXX、XXX、XXX。 三、毕业设计内容介绍: 1. 毕业设计主题:基于BIM技术的钢结构全生命周期管理系统设计与 实现。 2. 设计目标:通过建立钢结构全生命周期管理系统来优化钢结构施工、维护和管理的效率,提高工程施工质量。 3. 设计流程: (1)需求分析:首先对项目相关人员进行访谈和需求调研,确定系统 的需求。

(2)系统架构设计:根据需求和钢结构施工和管理的工作特点,设计 了系统的体系结构和模块划分。 (3)系统开发:使用BIM软件和开发语言进行系统开发,实现了各个 模块的功能。 (4)系统测试:对系统进行功能测试、性能测试、兼容性测试等多维 度的测试。 (5)系统运行:对系统进行上线,并进行数据监测和维护。 4. 毕业设计亮点: (1)系统优化效果显著:应用系统后,钢结构维护和管理效率提升明显,减少施工误差和安全事故发生率。 (2)功能齐全:系统不仅可以进行各个环节的数据记录和管理,还可 以生成数据统计和数据报表,为决策提供了方便和依据。 (3)系统可扩展性强:毕业设计中,我们考虑到系统的可扩展性问题,设计了多种扩展方案,可以根据实际需要进行扩展。 四、结论 在本次BIM毕业设计创新大赛中,我们采用先进的技术手段,成功地 设计出基于BIM技术的钢结构全生命周期管理系统,并获得了一等奖 的好成绩。此次设计不仅展现了我们的创意和才华,还表明了BIM技 术在建筑领域中的重要性和广泛应用前景。

南昌大学科学技术学院本科生毕业设计说明书与毕业论文撰写的基本要求

南昌大学科学技术学院本科生毕业设计说明书 与毕业论文撰写的基本要求 一篇完整的毕业设计说明书或毕业论文由题目、摘要、目录、引言(前言)、正文、结论、参考文献、谢辞和附录等几部分构成。 一、毕业设计说明书撰写的主要内容与基本要求 1.题目 设计课题名称,要求简洁、确切、鲜明。 2.中外文摘要 应扼要叙述本设计的主要内容、特点,文字要简练。中文摘要约200字左右;外文摘要约200个实词左右。 3.目录 主要内容的目录。 4.前言 应说明本设计的目的、意义、范围及应达到的技术要求;简述本课题在国内(外)的发展概况及存在的问题;本设计的指导思想;阐述本设计应解决的主要问题。 5.正文 (1)设计方案论证:应说明设计原理并进行方案选择。应说明为什么要选择这个方案(包括各种方案的分析、比较);还应阐述所采用方案的特点(如采用了何种新技术、新措施、提高了什么性能等)。 (2)设计及计算部分:这是设计说明书的重要组成部分,应详细写明设计结果及计算结果。 (3)样机或试件的各种实验及测试情况:包括实验方法、线路及数据处理等。 (4)方案的校验:说明所设计的系统是否满足各项性能指标的要求,能否达到预期效果。校验的方法可以是理论分析(即反推算),包括系统分析;也可以是实验测试及计算机的上机运算等。

概括说明本设计的情况和价值,分析其优点、特色,有何创新,性能达到何种水平,并指出其中存在的问题和今后的改进方向。 7.参考文献 在说明书正文之后,应列出主要参考文献。 8.谢辞 简述自己通过本设计的体会,并对指导教师和协助完成设计的有关人员表示谢意。 9.附录 对不适合书写于正文中的幅面较大的图纸、数据表格、计算机程序、附加数据、详细公式推导、编写的算法语言程序等内容,作为附录排写。 二、毕业论文撰写的主要内容与基本要求 1.题目 题目应该简短、明确,要有概括性,让人看后能大致了解文章的确切内容、专业的特点和学科的范畴。题目的字数要适当,一般不宜超过20字。 2.中外文摘要 摘要也称内容提要,应当以浓缩的形式概括研究课题的主要内容、方法和观点,以及取得的主要成果和结论,应反映整个论文的精华。中文摘要约300字左右为宜,同时要求写出250个实词左右的外文摘要。 摘要应写得扼要、准确,一般在毕业论文全文完成后再写摘要。在写作中要注意以下几点: (1)用精练、概括的语言表达,每项内容均不宜展开论证。 (2)要客观陈述,不宜加主观评价。 (3)成果和结论性意见是摘要的重点内容,在文字上用量较多,以加深读者的印象。 (4)要独立成文,选词用语要避免与全文尤其是前言和结论雷同。 (5)既要写得简短扼要,又要行文活泼,在词语润色、表达方法和章法结构上要尽可能写得有文采,以唤起读者对全文的阅读的兴趣。

毕业设计设计说明

毕业设计说明书 一、中文摘要 摘要:依据城市综合体的设计理念,通过合理的规划设计,引导城市良性发展,努力创建一个集居住和商业的于一体,其中街区既要提供居住,又要设施齐全、功能完善;布局合理、使用方便;景观丰富,环境优美;集商务办公、休闲购物、娱乐、居住等多功能于一体的生活中心,达到人-城市-自然和谐有序共存、发展的目标。 关键词:综合体居住和商业相结合 二、英文摘要 Abstact:Based Rational planning and design, guide the healthy development of the city,and strive to create a set of residential and commercial integration,which is necessary to provide residential neighborhood, but also a fully equipped, fully functional; rational layout easy to use; landscape rich and beautiful environment; business office,leisure and shopping, entertainment, residential and other functions into one center of life,reaching people —city - natural harmonious and orderly coexistence and development goals. Key words:Urban Complexes residential and commercial integration 1 项目概况 基地西北侧为商丘市长征路人民医院。基地西侧为现存居住区明珠花园小区。地块现状大部分为六七十年代建筑。其中大部分为2-3层民用建筑,建筑质量较差,存在安全隐患;土地利用率低,不符合节约用地;环境较差,影响市容.但地块地理位置优越,交通便捷,环境塑造潜力大。具有重要的城市景观地位,需要做出该地段的修建性详细规划设计,为该地段的城市建设提供规划管理依据。 1。1 区位分析 商丘位于中国中东部、河南省东部,是中原地区东 部门户和中心城市,简称商或宋。商丘地处东部沿海发 达地区和中西部发展中地区的过渡地带,是重要的物资 集散地和商贸中心。商丘区位优越、交通发达。商丘地 处东部沿海和中部地区的过渡地带,东临黄海、西扼中 原、北接齐鲁、南襟江淮,是国家促进中部崛起的两纵 两横经济带的四大交汇城市之一。陇海铁路、京九铁路、 郑徐高铁、商杭高铁、连霍高速、济广高速、310国道、 105国道等国家交通主干道在商丘交汇,是中国重要的 综合交通枢纽和商贸物流中心。区位分析 1.2 项目名称 和谐名城居住综合体规划设计1。3 项目位置 本规划地块位于商丘市梁园区,规划地块位于梁园区东侧,规划基地位于商丘市中心城区的旧城区域,东至纱厂二街,西到长征路,北临民主路,南接团结路,地块相对规整.规划用地面积约为18。7公顷。基地地理位置优越,距离火车站2.8公里交通便捷。 2 设计依据 (1)《商丘市城市总体规划》(2005—2020); (2)《商丘市城市绿地系统规划》(2004-2020) (3)《中华人民共和国城市规划法》; (4)钟其峰。城市综合体研究。MBA智库文档,2011 (5)王宁.城市综合体类型初探.能源期刊网,2011.[12] (6)塑造活力街区—-沈阳中街商业步行街人性化研究分析,现代城市研究,2008.[12] 3 设计理念 依据f 城市综合体的设计理念,通过合理的规划设计,引导城市良性发展,努力创建一个集居住和商业的于一体,其中街区既要提供居住,又要设施齐全、功能完善;布局合理、使用方便;景观丰富,环境优美;集商务办公、休闲购物、乐、居住等多功能于一体的生活中心,达到人——城市--自然和谐有序共存、发展的目标。 3。1 设计原则 生态性原则: 从景观生态学的角度,结合区域景观规划,对规划范围内的景观特征进行综合分析,创造适时、适地的街道,广场环境,步移景异的休闲环境,并且建设生态性停车位。 文化延续性原则: 商丘市历史悠久,具有丰富的人文景观和自然景观,充分提取文化元素,将该地块营造成文化底蕴醇厚的生活广场,使该生活中心具有明显的地域性和文化性特征,产生可识别性和特色性。 经济性原则: 在植物选择上尽量选择具有经济效益的本土植物,以最少的投入获得最大的生态效益和社会效益,在道路规划上多采取地下停车. 3。2核心理念 3。2。1 Shopping mall 集美食、娱乐、购物于一体的超大规模的购物中心,包括主力百货店、大型超市、专卖店、美食街、快餐店、高档餐厅、电影院、影视精品廊等。本次规划商业区以大型商业建筑为主,提供不同功能的销售场所,来满足消费者不同的需求。其中底层为购物消费场所,高层主要布置影院,餐厅,娱乐场所.

相关主题
文本预览
相关文档 最新文档